JP2022549793A - 光干渉法および反射率測定法を使用した半導体機器の自律プロセス制御および最適化のためのシステムおよび方法 - Google Patents

光干渉法および反射率測定法を使用した半導体機器の自律プロセス制御および最適化のためのシステムおよび方法 Download PDF

Info

Publication number
JP2022549793A
JP2022549793A JP2022517747A JP2022517747A JP2022549793A JP 2022549793 A JP2022549793 A JP 2022549793A JP 2022517747 A JP2022517747 A JP 2022517747A JP 2022517747 A JP2022517747 A JP 2022517747A JP 2022549793 A JP2022549793 A JP 2022549793A
Authority
JP
Japan
Prior art keywords
data
edge
substrate processing
processing chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022517747A
Other languages
English (en)
Inventor
サデギ・フセイン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022549793A publication Critical patent/JP2022549793A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Abstract

【解決手段】少なくとも1つのレーザセンサおよびコントローラが、自律プロセス制御および最適化のために基板処理システムのフリートとの間でデータを送受信する遠隔ビッグデータおよび機械学習サーバと通信する基板処理システムに埋め込まれる。レーザセンサは、基板処理システムの領域に近接して配置され、エッジ結合リングの少なくとも1つからの第1のデータ、および処理チャンバから領域に/領域から処理チャンバに輸送される半導体基板をキャプチャするように構成される。コントローラは、レーザセンサから第1のデータを受信し、第1のデータを処理して第2のデータを生成し、ネットワークを介して第2のデータを遠隔サーバに送信し、第2のデータを遠隔サーバに送ることに応答して、ネットワークを介して遠隔サーバから第3のデータを受信し、プロセス最適化のために第3のデータに基づいて基板処理システムを動作させるように構成される。【選択図】 図11

Description

[関連出願の相互参照]
本出願は、2019年9月25日に出願された米国仮出願第62/905,738号の利益を主張する。上記で参照された出願の全体の開示は、参照により本明細書に組み込まれる。
本開示は、一般に、基板処理システム、より詳細には、光干渉法および反射率測定法を使用した半導体機器の自律プロセス最適化および整合化のためのシステムおよび方法に関する。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明されている範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
基板処理システムは、典型的には、半導体ウエハなどの基板の堆積、エッチング、および他の処理を実施する複数の処理チャンバ(プロセスモジュールとも呼ばれる)を含む。基板上で実施することができるプロセスの例には、限定はしないが、プラズマ強化化学気相堆積(PECVD)プロセス、化学強化プラズマ気相堆積(CEPVD)プロセス、およびスパッタリング物理気相堆積(PVD)プロセスが挙げられる。基板上で実施することができるプロセスの追加の例には、限定はしないが、エッチング(例えば、化学エッチング、プラズマエッチング、反応性イオンエッチングなど)および洗浄プロセスが挙げられる。
処理中、基板は、基板処理システムの処理チャンバ内の台座、静電チャック(ESC)などの基板支持体上に配置される。堆積中、1つまたは複数の前駆体を含むガス混合物が処理チャンバに導入され、プラズマが打たれて化学反応を活性化する。エッチング中、エッチングガスを含むガス混合物が処理チャンバに導入され、プラズマが打たれて化学反応を活性化する。コンピュータ制御型ロボットが、典型的には、基板が処理される順序で、ある処理チャンバから別の処理チャンバに基板を移送する。
自律プロセス制御およびプロセス最適化のために基板処理システムのフリートとの間でデータを送受信する遠隔ビッグデータおよび機械学習サーバと通信する基板処理システムに埋め込まれた少なくとも1つのレーザセンサおよびコントローラを備えるシステムおよび方法が開示される。レーザセンサは、基板処理システムの領域に近接して配置される。レーザセンサは、エッジ結合リングの少なくとも1つからの第1のデータ、および処理チャンバから領域に/領域から処理チャンバに輸送される半導体基板をキャプチャするように構成される。コントローラは、レーザセンサから第1のデータを受信し、第1のデータを処理して第2のデータを生成し、ネットワークを介して第2のデータを遠隔サーバに送信し、第2のデータを遠隔サーバに送ることに応答して、ネットワークを介して遠隔サーバから第3のデータを受信し、プロセス最適化のために第3のデータに基づいて基板処理システムを動作させるように構成される。
別の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングおよび半導体基板の少なくとも1つの幾何学的パラメータの変化を測定するように構成される。第2のデータは、幾何学的パラメータの変化を示す。
別の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングおよび半導体基板の少なくとも1つの厚さの変化を測定するように構成される。
第2のデータは、厚さの変化を示す。
他の特徴において、コントローラは、少なくとも1つの場所における第1のデータに基づいて、エッジ結合リングの平坦度の変化を測定するように構成される。平坦度の変化は、エッジ結合リングを使用して処理チャンバ内で基板を処理するために使用されるプロセスのエッチング速度の均一性を示している。第2のデータは、平坦度の変化を示す。コントローラは、第3のデータに基づいて、処理チャンバおよびプロセスの少なくとも1つのパラメータを調整してエッチング速度を制御するように構成される。パラメータは、基板が処理される処理チャンバの基板支持アセンブリ内の温度分布を含む。パラメータは、基板が処理される処理チャンバの基板支持アセンブリの上のエッジ結合リングの高さを含む。パラメータは、ガス流、圧力、上部電極温度、基板支持体温度分布、バイアス電圧、エッチング/堆積速度、およびエッチング/堆積均一性からなる群から選択されるレシピパラメータを含む。
他の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングの表面粗さの変化を測定するように構成される。表面粗さは、処理チャンバを洗浄するために使用されるプロセスの品質の変動を示している。第2のデータは、表面粗さの変化を示す。コントローラは、第3のデータに基づいて、プロセスのレシピを制御するためにパラメータを調整するように構成される。パラメータは、洗浄プロセスの期間および頻度の1つまたは複数を含む。
他の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングの内径のプロファイルを決定するように構成される。プロファイルは、処理チャンバの構成要素またはアセンブリの誤動作を示している。第2のデータは、プロファイルを示す。コントローラは、第3のデータに基づいて、構成要素またはアセンブリを保守するためのメッセージを生成するように構成される。
さらに他の特徴において、システムは、受信機と、コントローラとを含む。受信機は、ネットワークを介して、基板処理システム内のエッジ結合リングおよび半導体基板の少なくとも1つからレーザセンサによってキャプチャされた第1のデータを受信するように構成される。コントローラは、第1のデータを処理して第2のデータを生成し、第2のデータを機械学習を使用して訓練されたモデルに入力し、第2のデータの受信に応答して、基板処理システムを動作させるのに有用な第3のデータを出力し、ネットワークを介して第3のデータを基板処理システムに送信するように構成される。
別の特徴において、第3のデータは、基板処理システムの処理チャンバ構成および処理チャンバで実施されるプロセスの少なくとも1つのための調整を含む。
別の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングの幾何学的パラメータの変化を測定するように構成される。第2のデータは、幾何学的パラメータの変化を示す。
別の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングおよび半導体基板の少なくとも1つの厚さまたは重量の変化を測定するように構成される。第2のデータは、厚さまたは重量の変化を示す。
他の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングの平坦度を測定するように構成される。異なる場所での平坦度の変化は、基板処理システムの処理チャンバ内で基板を処理するために使用されるプロセスのエッチング速度の均一性を示している。第2のデータは、エッジ結合リングの異なる場所での平坦度を示す。第3のデータは、第2のデータに基づいて、エッチング速度を最適化するための処理チャンバ構成およびプロセスの少なくとも1つのパラメータに対する調整を含む。パラメータは、基板が処理される処理チャンバの基板支持アセンブリ内の温度分布を含む。パラメータは、基板が処理される処理チャンバの基板支持アセンブリの上のエッジ結合リングの高さを含む。パラメータは、ガス流、圧力、上部電極温度、基板支持体温度分布、バイアス電圧、エッチング/堆積速度、およびエッチング/堆積均一性からなる群から選択されるレシピパラメータを含む。
他の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングの表面粗さを測定するように構成される。表面粗さは、基板処理システムの処理チャンバを洗浄するために使用されるプロセスの品質の変動を示している。第2のデータは、表面粗さの変化を示す。第3のデータは、第2のデータに基づいて、洗浄プロセスのレシピを制御するためのパラメータに対する調整を含む。パラメータは、プロセスの期間および頻度の1つまたは複数を含む。
他の特徴において、コントローラは、第1のデータに基づいて、エッジ結合リングの内径のプロファイルを決定するように構成される。プロファイルは、基板処理システムの処理チャンバのアセンブリの誤動作を示している。第2のデータは、プロファイルを示す。第3のデータは、第2のデータに基づいて、アセンブリを保守するためのメッセージを含む。
さらに他の特徴において、基板処理システムは、レーザセンサと、コントローラとを備える。レーザセンサは、基板処理システムに配置される。レーザセンサは、それぞれ第1および第2の時間において、基板処理システムの処理チャンバで使用される構成要素から第1のデータおよび第2のデータをキャプチャするように構成される。構成要素は、半導体基板および半導体基板と共に使用されるエッジ結合リングの少なくとも1つを含む。構成要素は、第1の時間と第2の時間との間に処理チャンバで実施されるプロセス中に処理チャンバで使用される。コントローラは、レーザセンサから第1のデータおよび第2のデータを受信し、第1のデータおよび第2のデータに基づいて、構成要素の幾何学的パラメータの変化を測定するように構成される。コントローラは、ネットワークを介して測定された変化を遠隔サーバに送信するように構成される。遠隔サーバは、測定された変化に基づいて、プロセスおよび処理チャンバの少なくとも1つのパラメータへの調整を推奨するように訓練されたモデルを含む。コントローラは、ネットワークを介して遠隔サーバからパラメータへの調整を受信し、プロセスおよび処理チャンバの少なくとも1つのパラメータへの調整を実施するように構成される。
他の特徴において、第1のデータおよび第2のデータは、ある点で、線に沿って、または構成要素の領域を横切って実施される測定を含む。
他の特徴において、幾何学的パラメータは、構成要素の厚さ、平坦度、または表面粗さを含む。
他の特徴において、コントローラは、測定された変化に基づいて、プロセスのエッチング速度およびプロセスの堆積速度の少なくとも1つの不均一性を決定するように構成される。調整は、プロセスのエッチング速度およびプロセスの堆積速度の少なくとも1つの不均一性を最小化する。
他の特徴において、コントローラは、最初にプロセスのパラメータを調整し、次に処理チャンバのパラメータを調整するように構成される。
他の特徴において、コントローラは、最初に処理チャンバのパラメータを調整し、次にプロセスのパラメータを調整するように構成される。
他の特徴において、プロセスのパラメータは、ガス流、圧力、上部電極温度、基板支持体温度分布、バイアス電圧、エッチング/堆積速度、およびエッチング/堆積均一性からなる群から選択される。
他の特徴において、処理チャンバのパラメータは、処理チャンバ内の基板支持アセンブリの上のエッジ結合リングの高さ、エッジ結合リングの傾斜、処理チャンバの上部電極と下部電極との間のギャップ、上部電極と下部電極との間の位置合わせ、および上部電極と下部電極との間の傾斜からなる群から選択される。
他の特徴において、測定された変化は、処理チャンバを洗浄するために使用されるプロセスの品質の変動を示す。プロセスのパラメータへの調整は、変動を最小化する。パラメータは、プロセスの期間および頻度の1つまたは複数を含む。
他の特徴において、コントローラは、第1のデータおよび第2のデータに基づいて、エッジ結合リングの内径のプロファイルを決定するように構成される。プロファイルは、処理チャンバのアセンブリの誤動作を示している。コントローラは、プロファイルを遠隔サーバに送信し、プロファイルに基づいて、遠隔サーバからアセンブリを保守するための指示を受信し、指示に基づいて、アセンブリを保守するためのメッセージを生成するように構成される。
さらに他の特徴において、システムは、プロセッサと、プロセッサによって実行されると、それぞれ第1および第2の時間において、基板処理システムの処理チャンバで使用される構成要素からレーザセンサによってキャプチャされた第1のデータおよび第2のデータを受信するようにプロセッサを構成する命令を含むメモリとを備える。構成要素は、半導体基板および半導体基板と共に使用されるエッジ結合リングの少なくとも1つを含む。構成要素は、第1の時間と第2の時間との間に処理チャンバで実施されるプロセス中に処理チャンバで使用される。命令は、プロセッサに、第1のデータおよび第2のデータに基づいて、構成要素の幾何学的パラメータの変化を測定させる。命令は、プロセッサに、測定された変化を、測定された変化に基づいて、プロセスおよび処理チャンバの少なくとも1つのパラメータへの調整を推奨するように訓練されたモデルに入力させる。命令は、プロセッサに、モデルからパラメータへの調整を受信させ、プロセスおよび処理チャンバの少なくとも1つのパラメータへの調整を実施させる。
他の特徴において、第1のデータおよび第2のデータは、ある点で、線に沿って、または構成要素の領域を横切って実施される測定を含む。
他の特徴において、幾何学的パラメータは、構成要素の厚さ、平坦度、または表面粗さを含む。
他の特徴において、命令は、測定された変化に基づいて、プロセスのエッチング速度およびプロセスの堆積速度の少なくとも1つの不均一性を決定するようにプロセッサをさらに構成する。調整は、プロセスのエッチング速度およびプロセスの堆積速度の少なくとも1つの不均一性を最小化する。
他の特徴において、命令は、最初にプロセスのパラメータを調整し、次に処理チャンバのパラメータを調整するようにプロセッサをさらに構成する。
他の特徴において、命令は、最初に処理チャンバのパラメータを調整し、次にプロセスのパラメータを調整するようにプロセッサをさらに構成する。
他の特徴において、命令は、ガス流、圧力、上部電極温度、基板支持体温度分布、バイアス電圧、エッチング/堆積速度、およびエッチング/堆積均一性からなる群からプロセスのパラメータを選択するようにプロセッサをさらに構成する。
他の特徴において、命令は、処理チャンバ内の基板支持アセンブリの上のエッジ結合リングの高さ、エッジ結合リングの傾斜、処理チャンバの上部電極と下部電極との間のギャップ、上部電極と下部電極との間の位置合わせ、および上部電極と下部電極との間の傾斜からなる群からプロセスのパラメータを選択するようにプロセッサをさらに構成する。
他の特徴において、測定された変化は、処理チャンバを洗浄するために使用されるプロセスの品質の変動を示す。プロセスのパラメータへの調整は、変動を最小化する。パラメータは、プロセスの期間および頻度の1つまたは複数を含む。
他の特徴において、命令は、第1のデータおよび第2のデータに基づいて、エッジ結合リングの内径のプロファイルを決定するようにプロセッサをさらに構成する。プロファイルは、処理チャンバのアセンブリの誤動作を示している。命令は、プロファイルをモデルに送信し、プロファイルに基づいて、モデルからアセンブリを保守するための指示を受信し、指示に基づいて、アセンブリを保守するためのメッセージを生成するようにプロセッサをさらに構成する。
本開示を適用可能な他の分野は、詳細な説明、特許請求の範囲および図面から明らかになるであろう。詳細な説明および特定の例は、例示のみを目的としており、本開示の範囲を限定することを意図するものではない。
本開示は、詳細な説明および添付の図面からより完全に理解されるであろう。
図1は、基板処理ツールの例を示す図である。
図2は、製作施設における基板処理ツールの例示的な配置を示す図である。
図3Aは、基板処理ツールの様々な例示的な構成を示す図である。 図3Bは、基板処理ツールの様々な例示的な構成を示す図である。 図3Cは、基板処理ツールの様々な例示的な構成を示す図である。 図3Dは、基板処理ツールの様々な例示的な構成を示す図である。 図3Eは、基板処理ツールの様々な例示的な構成を示す図である。 図3Fは、基板処理ツールの様々な例示的な構成を示す図である。 図3Gは、基板処理ツールの様々な例示的な構成を示す図である。 図3Hは、基板処理ツールの様々な例示的な構成を示す図である。 図3Iは、基板処理ツールの様々な例示的な構成を示す図である。
図4は、基板処理ツールの別の例を示す図である。
図5Aは、基板処理ツールの様々な例示的な構成の平面図である。 図5Bは、基板処理ツールの様々な例示的な構成の平面図である。 図5Cは、基板処理ツールの様々な例示的な構成の平面図である。 図5Dは、基板処理ツールの様々な例示的な構成の平面図である。
図6Aは、基板処理ツールの追加の例示的な構成の平面図である。 図6Bは、基板処理ツールの追加の例示的な構成の平面図である。 図6Cは、基板処理ツールの追加の例示的な構成の平面図である。
図7Aは、様々な処理チャンバを備える基板処理システムの機能ブロック図である。 図7Bは、様々な処理チャンバを備える基板処理システムの機能ブロック図である。 図7Cは、様々な処理チャンバを備える基板処理システムの機能ブロック図である。
図7Dは、処理チャンバ内のエッジ結合リングの例を示す図である。
図8Aは、本開示による光干渉法および反射率測定法を使用して、半導体機器に対する自律プロセス最適化および整合化を実施するための測定システムの高レベルアーキテクチャを示す図である。
図8Bは、本開示のシステムおよび方法を実装するためのクライアントサーバアーキテクチャを示す図である。 図8Cは、本開示のシステムおよび方法を実装するためのクライアントサーバアーキテクチャを示す図である。 図8Dは、本開示のシステムおよび方法を実装するためのクライアントサーバアーキテクチャを示す図である。
図9Aは、本開示の測定システムで使用されるレーザセンサの例示的な配置を示す図である。
図9Bは、エッチングおよび堆積プロセスによるエッジ結合リングおよびウエハの厚さ変化の例を示す図である。 図9Cは、エッチングおよび堆積プロセスによるエッジ結合リングおよびウエハの厚さ変化の例を示す図である。 図9Dは、エッチングおよび堆積プロセスによるエッジ結合リングおよびウエハの厚さ変化の例を示す図である。 図9Eは、エッチングおよび堆積プロセスによるエッジ結合リングおよびウエハの厚さ変化の例を示す図である。 図9Fは、エッチングおよび堆積プロセスによるエッジ結合リングおよびウエハの厚さ変化の例を示す図である。 図9Gは、エッチングおよび堆積プロセスによるエッジ結合リングおよびウエハの厚さ変化の例を示す図である。
図10Aは、本開示の測定システムにおいて1D/2D反射率測定法および3D干渉法と共に使用されるレーザセンサの例を示す図である。 図10Bは、本開示の測定システムにおいて1D/2D反射率測定法および3D干渉法と共に使用されるレーザセンサの例を示す図である。 図10Cは、本開示の測定システムにおいて1D/2D反射率測定法および3D干渉法と共に使用されるレーザセンサの例を示す図である。
図11は、図8B~図8Dに示すクライアントサーバアーキテクチャを使用して、および図9~図10Bに示すレーザセンサを使用して実装される測定システムのブロック図である。
図12は、様々なツールから測定システムによってキャプチャされたデータに基づく機械学習を使用して様々なモデルを訓練するための方法のフローチャートである。
図13は、製造中にキャプチャされたデータに基づいて訓練されたモデルを使用してツールで実施される方法のフローチャートである。
図14Aは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Bは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Cは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Dは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Eは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Fは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Gは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Hは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。 図14Iは、測定システムを使用してツールの動作を改善するために実施される追加のモデルベースの方法のフローチャートである。
図15Aは、モデルを生成、訓練、および検証するための方法の例を示すフローチャートである。 図15Bは、モデルを生成、訓練、および検証するための方法の例を示すフローチャートである。
図16Aは、モデルを生成するために使用される例示的なニューラルネットワークのグラフ表現である。 図16Bは、モデルを生成するために使用される例示的なニューラルネットワークのグラフ表現である。
これらの図面において、参照番号は、類似の要素および/または同一の要素を指すために再度利用されることがある。
エッジ結合リング(その例は、以下の図7Dを参照して示され説明される)は、プロセスモジュール(PM)での処理中、半導体ウエハ(以下、ウエハ)などの基板と共に使用される。エッジ結合リングは、エッチングプロセス中のPM内のプラズマ場のより良好な制御を可能にし、最適化されたオンウエハプロセス結果を達成する。エッジ結合リングは、真空移送モジュール(VTM)内のウエハ処理ロボットを介して、ツールの機器フロントエンドモジュール(EFEM)からツールのPMに自動的に移送することができる。ツール内または異なるツール間のPMの状態の違いのために、オンウエハプロセス結果は変化する傾向がある。PM間整合化を達成するために(すなわち、ツール内または異なるツール間でPMのオンウエハプロセス結果の均一性を達成するために)、異なるツール間のプロセス特性(エッチング速度、エッチング均一性など)を定量化し、経時的なドリフトを監視し、メンテナンス(例えば、ウェット洗浄など)後の変化を記録し、PMにおけるエッチングプロセスのパラメータ(例えば、レシピ、ESC温度、ガス流、持続時間、圧力など)を自動的に調整してそのような変化を補正する手順を作成するための技法が必要である。
新品の(すなわち、未使用の)エッジ結合リングは、半径方向に不均一な厚さを有する。PMで実施される処理中、エッジ結合リングが侵食され、それらの厚さが減少する。エッジ結合リングの中心に近いエッジ結合リングの内径(ID)での厚さの減少は、通常、エッジ結合リングの外径(OD)に近い点での厚さの減少よりも大きい。したがって、本開示の目的の1つは、プロセスの前後の半径方向にわたるエッジ結合リングの厚さプロファイルの変化を決定することである。厚さ測定は、例えば、ツールのエアロックチャンバに隣接して配置された1つまたは複数のレーザセンサを使用して行うことができる。(本開示全体を通して、「レーザセンサ(a laser sensor)」および「レーザセンサ(the laser sensor)」という語句は、1つまたは複数のレーザセンサを意味するために使用される。)しかし、レーザセンサは、エアロック内に載置される必要はない。測定を実施するために、専用ステーションをVTMまたはEFEMに設計することができる。PMではなくプラットフォームにレーザセンサを配置する動機は、PMが動作する過酷な環境(プラズマ、高温、真空、腐食など)が非常に課題であり、レーザセンサを損傷する可能性があり、その結果PM内でのそのような感知技術の実装のコストが増加することである。
現在、PMに入る新品のエッジ結合リングと、同じエッジ結合リングとの間の厚さ変化を測定し、その寿命の終わりにまたはその寿命の終わりの前の任意の時点(例えば、毎日)でPMを残し、プロセス最適化およびPM間整合化のためにPMのプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に調整する技法は存在しない。本開示は、自律プロセス最適化およびPM間整合化のためのインバウンド/アウトバウンド(新品/使用済み)エッジ結合リング(および前処理/後処理ウエハ)および関連する情報処理インフラストラクチャの自動測定を可能にする技法を提案する。この技法を使用して、エッジ結合リングは、その寿命の途中で、ロボットを介してPMから取り外され、エアロックに運ばれ得る。厚さプロファイル測定を行った後、エッジ結合リングをPMに戻すことができ、システムパラメータの調整を行って、プロセス結果を最適化することができる。
さらに、エッジ結合リングの厚さプロファイルの変化を測定するために記載されたのと同じ技法をウエハにも適用することができる。例えば、エッチング用途では、光反射率測定法を使用して処理の前後にウエハの厚さを測定し、エッチングされた材料の厚さを決定することができる。次に、エッチングされた材料の厚さを、エッチングされた材料の予想される厚さと比較することができる。エッチングされた材料の実際の厚さと予想される厚さとの間の差に基づいて、プロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を調整し、PMおよびフリート全体のプロセス結果を最適化することができる。PMで調整することができるパラメータの例には、限定はしないが、圧力、ガス流、ESC温度、上部電極温度、プロセス期間、バイアス電圧などが挙げられる。典型的なエッチング用途の場合、エッチングされた材料の厚さは、0.1~10μmであり得る。レーザセンサは、光反射率測定法および干渉法を介して、0.1μmまでの再現性でエッチングされた材料の厚さを測定することができる。
さらに、同じ技法を堆積ツールに適用し、ウエハ上に堆積された膜の厚さを測定し、それに基づいてプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を調整し、PMおよびフリート全体のプロセス結果を最適化することができる。したがって、本開示全体を通して、システムおよび方法は、例えばエッジ結合リングのみを参照して説明されることが多いが、本開示の教示は、特に明記しない限り、ウエハへの特定の参照に関係なく、エッチングおよび堆積プロセスを使用して処理されたウエハに等しく適用されることを理解されたい。例えば、ID測定などのいくつかの測定は、エッジ結合リングにのみ適用され、ウエハには適用されない。
本開示によれば、光反射率測定法および干渉法を使用するレーザセンサは、例えば、新品/使用済みのエッジ結合リングおよびウエハが通過する場所(例えば、エアロック、アライナ、ロボットアームなど)における移送モジュール(TM)に配置される。この配置は、使用されるエッジ結合リング(厚さの減少)および処理されたウエハ(エッチングプロセスにおける厚さの減少および堆積プロセスにおける厚さの増加)における厚さプロファイルの変化の正確な測定を可能にする。エッジ結合リングの使用履歴(例えば、エッジ結合リングがPMで使用されたRF時間数、プロセスパラメータ、チャンバタイプなど)およびその厚さの減少を知ることにより、PMのプロセス特性(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を決定することができ、PM内のプロセスを最適化することができる。エッチングされたウエハの厚さの減少を使用して、PMのエッチングプロセスのパラメータを調整(最適化)することができる。堆積プロセスで使用するウエハの厚さの増加を使用して、PMにおける堆積プロセスのパラメータを調整(最適化)することができる。1D(ある点で)または2D(線を横切って)を行う光反射率測定センサ、ならびに3D(表面を横切って)を行う干渉計センサの両方を使用することができ、これにより、以下の図9A~図10Cを参照して説明される高さ/厚さの測定を行うことができる。
簡単に言えば、レーザセンサは、エッジ結合リング(またはウエハ)の厚さなどの幾何学的パラメータの変化を測定し、ツールのシステムコンピュータに送る。システムコンピュータは、データを前処理し、前処理/後処理されたデータをホスト/クラウド(例えば、データセンタ内)に送る。ホスト/クラウドは、ビッグデータインフラストラクチャを含み、すべての入力データを記憶する。ホスト/クラウドは、入ってくる/出て行くエッジ結合リング(およびウエハ)間の厚さの変化に基づいて、PMのプロセス特性(例えば、エッチング/堆積速度、均一性など)を決定する。ホスト/クラウドは、データサイエンスインフラストラクチャを使用して定期的(例えば、毎日または毎週)に同じレシピを実行する同様のPMからのデータを使用して、機械学習モデル(例えば、ニューラルネットワーク、テンソルフローなどに基づく)を訓練する。訓練されたモデルは、PMプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)に対する調整を推奨するために入力データと共に使用され、閉ループ方式での自律プロセス最適化およびPM間整合化を達成する。システムコントローラは、PMプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に調整(最適化)し、閉ループ方式での自律プロセス最適化およびPM間整合化を達成する。
したがって、本開示は、エッジ結合リングおよびウエハがPMとの間で(例えば、エアロック内で)輸送されている間にステーションに一時的に置かれるとき、エッジ結合リングおよびウエハからデータをキャプチャするシステムおよび方法に関する。キャプチャされたデータが処理され、エッジリングおよびウエハの幾何学的特性が特徴抽出を介して計算される。データは、機械学習を使用して様々なモデルを訓練するために使用される訓練データを生成するために、一定期間キャプチャおよび処理される。次に訓練されたモデルを使用して、経時的なエッジ結合リングの摩耗およびウエハの厚さ変化を定量化し、PM間整合化に対するプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に調整(最適化)し、以下に説明するようにシステムスループット、プロセス歩留まり、およびオンウエハプロセス品質を改善する。
加えて、システムおよび方法は、ツールを制御し、スループット、歩留まり、およびオンウエハプロセス品質を改善する手段を推奨するために典型的には使用される様々なセンサ、アルゴリズム、およびフィードバック機構を任意選択で補完することができる。システムおよび方法は、使用中または製造中に継続的にエッジ結合リングおよびウエハからキャプチャされたデータから学習し続ける。システムおよび方法はまた、典型的には人間の介入を必要とするいくつかの予防および是正タスク(例えば、PM洗浄および以下で説明されるシグネチャベースのガイド付きトラブルシューティング)の実施を自動化する。システムおよび方法は、半導体ファブ内のフリート全体のツール動作を監視し、閉ループ方式でツールの自律制御のためのフィードバックを提供する。
本開示の測定システムは、検出器および/またはレーザセンサなどのセンサ(以下の図9A~図10Cを参照して示され説明される)を備え、さらに、データ処理アルゴリズム、知覚アルゴリズム、センサ融合アルゴリズム、機械学習を使用して訓練されたモデル、および閉ループ制御アルゴリズムを備える。本開示全体を通して、レーザセンサは、検出器/センサの例としてのみ使用され、任意の他の適切な検出器/センサが、代わりにまたはそれに加えて使用され得ることが理解される。本開示のこれらおよび他の特徴は、以下に詳細に説明される。
構成
本開示は、以下のように構成される。最初に、基板処理ツール、それらの構成、およびそこで使用される処理チャンバの多数の例が、図1~図7Dを参照して示され説明される。これらの図面および対応する説明は、異なるウエハ上で実施することができる様々なプロセス、ならびに異なるチャンバおよびツール間のウエハおよび関連するエッジ結合リングによって移動される様々な経路を説明するために提供される。さらに、これらの図は、チャンバおよびツール内の様々なセンサから典型的には収集されるデータの複雑さ、多様性、および広がりを例示するために提供される。問題を診断、是正、および防止するために、ならびにチャンバおよびツールにおいて様々な調整、較正、および予防メンテナンス手順を実施するために使用されるデータは、本開示のシステムおよび方法と併せて使用することができる。
本開示のシステムおよび方法は、スタンドアロンで、またはこれらのセンサおよびそこから収集されたデータと組み合わせて動作することができ、チャンバおよびツールで実施される診断、是正、および予防手順を大幅に改善することができる。これらの図は、本開示のシステムおよび方法によって実施される動作の範囲を理解するのに役立つ、チャンバおよびツールの動作への洞察を提供する。さらに、これらの図は、本開示の測定システムのレーザセンサを異なるツールに設置することができる様々な場所を理解するのに役立つ。
図8Aは、本開示による測定システムの高レベルアーキテクチャを示す。図8B~図8Dは、本開示のシステムおよび方法を実装するために使用することができるクライアントサーバアーキテクチャを示す。図9Aは、本開示の測定システムのレーザセンサなどの1つまたは複数の検出器を位置させることができるツール内の場所の例を示す。図9B~図9Gは、本開示のシステムおよび方法による処理の前後に測定が行われるエッジ結合リングおよびウエハの例を示す。図10A~図10Cは、1D、2D、および3Dレーザセンサの例を示す。図11は、図8B~図8Dのクライアントサーバアーキテクチャを使用して、および図9A~図10Cに示すような1つまたは複数のレーザセンサを使用して実装される測定システムの例を示す。
図12は、様々なツールから測定システムによってキャプチャされたデータに基づく機械学習を使用して様々なモデルを訓練するための方法の例を示す。図13は、リアルタイムで(すなわち、オンザフライで、またはツールが製造中にウエハを処理するために使用されているときに)キャプチャされたデータに基づいて訓練されたモデルを使用して実施される方法の例を示す。図14A~図14Iは、本開示のシステムおよび方法を使用して、フリート全体のプロセスおよびPM整合化を最適化することによってツールの動作を改善するために実施されるモデルベースの方法の追加の例を示す。図15A~図16Bは、機械学習を使用してモデルを訓練するための方法の例を示す。
ツールの例
図1は、基板処理ツール100の例のトップダウン図を示す。基板処理ツール100は、複数のプロセスモジュール104を含む。ほんの一例として、プロセスモジュール104の各々は、基板上で1つまたは複数のそれぞれのプロセスを実施するように構成され得る。処理される基板は、機器フロントエンドモジュール(EFEM)108のローディングステーションのポートを介して基板処理ツール100にロードされ、次いで、プロセスモジュール104の1つまたは複数に移送される。例えば、基板は、連続してプロセスモジュール104の各々にロードされ得る。
図2は、基板処理ツール100などの複数の基板処理ツール208を含む製作室204の例示的な配置200を示す。図3A~図3Iは、基板処理ツール100などの複数の基板処理ツール208を配置することができる構成の様々な例を示す。これらの例では、ウエハは様々な経路を通って移動する。したがって、これらの経路を通してウエハを輸送するために使用されるロボットの構成および動作は変化する。さらに、これらの例では、ロボットおよびウエハの様々な側面を感知するために、様々なセンサが使用される。加えて、図9~図11を参照して詳細に説明するように、測定システムのレーザセンサなどの1つまたは複数の検出器を、これらの例のどこにでも載置することができる。
図3Aは、本開示の原理による、第1の基板処理ツール304および第2の基板処理ツール308を含む第1の例示的な構成300を示す。第1の基板処理ツール304および第2の基板処理ツール308は、順次配置され、真空下にある移送ステージ312によって接続される。示すように、移送ステージ312は、第1の基板処理ツール304の真空移送モジュール(VTM)316と第2の基板処理ツール308のVTM320との間で基板を移送するように構成された枢動移送機構を含む。他の例では、移送ステージ312は、線形移送機構などの他の適切な移送機構を含み得る。
ほんの一例として、VTM316の第1のロボット(図示せず)は、第1の位置に配置された支持体324上に基板を載置し得、支持体324は第2の位置に枢動され、VTM320の第2のロボット(図示せず)は、第2の位置にある支持体324から基板を回収する。いくつかの例では、第2の基板処理ツール308は、処理ステージ間で1つまたは複数の基板を記憶するように構成されたストレージバッファ328を含み得る。移送機構はまた、基板処理ツール308と304との間に2つ以上の移送システムを提供するために積み重ねられてもよい。移送ステージ324はまた、一度に複数の基板を輸送または緩衝する複数のスロットを有し得る。構成300において、第1の基板処理ツール304および第2の基板処理ツール308は、単一の機器フロントエンドモジュール(EFEM)332を共有するように構成される。
図3Bは、順次配置され、移送ステージ412によって接続された第1の基板処理ツール404および第2の基板処理ツール408を含む第2の例示的な構成400を示す。構成400は、構成400においてEFEMが排除されていることを除いて、図3Aの構成300と同様である。したがって、基板は、エアロックローディングステーション416を介して直接第1の基板処理ツール408にロードされ得る(例えば、真空ウエハキャリア、フロントオープニングユニファイドポッド(FOUP)などの貯蔵もしくは輸送キャリア、または他の適切な機構を使用して)。この構成におけるレーザセンサおよび他のセンサの配置は、対応して、構成300とは異なる場合がある。
図3Cは、基板処理ツール504を含む第3の例示的な構成500を示す。構成500は、EFEMを排除し、単一のローディングステーション508のみを使用し、より多くの数の(例えば、7つの)プロセスモジュール512を可能にする。ローディングステーション508において、基板は、エアロックローディングステーション416を介して直接第2の基板処理ツール408にロードされ得る(例えば、真空ウエハキャリア、フロントオープニングユニファイドポッド(FOUP)などの貯蔵もしくは輸送ポッド、または他の適切な機構を使用して)。したがって、この構成におけるレーザセンサおよび他のセンサの配置は、対応して、構成300および400とは異なる場合がある。
図3Dは、単一のEFEM612を共有する第1の基板処理ツール604および第2の基板処理ツール608を含む第4の例示的な構成600を示す。より具体的には、第1の基板処理ツール604および第2の基板処理ツール608は、それぞれのローディングステーション616および620を介してEFEM612のそれぞれの端部に接続される。ローディングステーション616および620は各々、レーザセンサおよび他の適切なセンサを含み得る積み重ねられた構成を有し得る。
図3Eは、単一のEFEM712を共有する第1の基板処理ツール704および第2の基板処理ツール708を含む第5の例示的な構成700を示す。第1の基板処理ツール704および第2の基板処理ツール708は、それぞれのローディングステーション716および720を介してEFEM712のそれぞれの端部に接続される。ローディングステーション716および720は各々、レーザセンサおよび他の適切なセンサを含み得る積み重ねられた構成を有し得る。
図3Fは、順次配置された基板処理ツール804、808などの1つまたは複数の列を含む第6の例示的な構成800を示す。構成800において、各列は、それぞれの移送ステージ812を介して接続された3つ以上の基板処理ツールを含み得る。移送ステージ812は、枢動移送機構、線形移送機構などを含み得る。第1のEFEM816は、基板処理ツール804、808の列の第1の端部に提供され、第2のEFEM820は、基板処理ツール804、808の列の第2の端部に提供される。例えば、基板は、第1のEFEM816でロードされ、処理され、基板処理ツール804、808の様々なプロセスモジュールを通して順次移送され、次いで第2のEFEM820からアンロード/回収され得る。いくつかの例では、移送ステージ812内の移送機構は、隣接する基板処理ツール間に2つ以上の移送システムを提供するために垂直に積み重ねられてもよい。移送ステージ812はまた、一度に複数の基板を移動または緩衝する複数のスロットを有し得る。理解され得るように、構成800におけるレーザセンサおよび他のセンサの配置は、他の構成におけるものとは大幅に異なる可能性がある。
図3Gは、基板処理ツール904を含む第7の例示的な構成900を示す。構成900において、基板処理ツール904は、例えば、8つのプロセスモジュール908を含み、EFEMと任意の外部ローディングステーションの両方を排除する。代わりに、1つまたは複数の輸送キャリア(例えば、真空ウエハキャリア)912が、基板処理ツール904の内部916に提供される。例えば、キャリア912は、自動材料処理システム(AMHS)などの自動輸送システムを使用して、基板処理ツール904の上から輸送され得る。ロボット920は、キャリア912から基板を回収し、基板をプロセスモジュール908に移送する。理解され得るように、構成900におけるレーザセンサおよび他のセンサの配置は、他の構成におけるものとは異なる可能性がある。
図3Hは、複数のプロセスモジュール1008を有する基板処理ツール1004を含む第8の例示的な構成1000を示す。基板処理ツール1004は、EFEM1020とプロセスモジュール1008との間で基板を移送するように構成された線形VTM1012およびロボット1016を含む。VTM1012は、プロセスモジュール1008に対するロボット1016の線形位置を調整するように構成される(すなわち、VTM1012に対するロボット1016の端から端までの位置を調整する)。
図3Iは、基板処理ツール1104を含む第9の例示的な構成1100を示す。基板処理ツール1104は、プロセスモジュール1108のクラスタ配置、および任意選択のエンドプロセスモジュール1112を含む。プロセスモジュール1108は、単一のEFEM1116を共有する。この場合も、構成1000および1100におけるレーザセンサおよび他のセンサの配置は、他の構成とは異なる可能性がある。
いくつかの例では、本明細書に記載の処理ツールのいずれかは、積み重ねられた構成を有するローディングステーションを実装することができる。例えば、図3Cおよび図3Eに示すようなローディングステーション508、716、720などは、積み重ねられた構成を実装することができる。言い換えれば、積み重ねられた構成では、ローディングステーションは、2つ以上の垂直に積み重ねられたローディングステーションを含むことができる。いくつかの例では、積み重ねられた構成はまた、1つまたは複数のローディングステーションと垂直に積み重ねられたプロセスモジュールまたはチャンバ(統合クリティカルストリップ(ICS)チャンバなど)を含み得る。追加のレーザセンサおよび他のセンサは、これらの積み重ねられた構成で使用することができる。
ツールの追加の例
図4は、基板処理ツール1150のさらに別の例のトップダウン図を示す。基板処理ツール1150は、複数のプロセスモジュール1154を含む。例えば、プロセスモジュール1154の各々は、基板上で1つまたは複数のそれぞれのプロセスを実施するように構成され得る。処理される基板は、機器フロントエンドモジュール(EFEM)1158などの大気-真空(ATV)移送モジュールのローディングステーションのポートを介して基板処理ツール1150にロードされ、次いで、プロセスモジュール1154の1つまたは複数に移送される。例えば、移送ロボット1162は、ローディングステーション1166からエアロックまたはロードロック1170に基板を移送するように配置され、真空移送モジュール1174の真空移送ロボット1178は、ロードロック1170から様々なプロセスモジュール1154に基板を移送するように配置される。
例えば、基板処理ツールの機器フロントエンドモジュール(EFEM)は、EFEMと、EFEMと真空移送モジュール(VTM)との間に配置されたロードロックとの間で基板を移送するための1つまたは複数の移送ロボットを含み得る。EFEMの内部容積は、移送ロボットを収容するのに十分でなければならない。したがって、ロードロックは、典型的には、EFEMとVTMとの間の機器フロントエンドモジュール(EFEM)のフットプリントの外側に位置する。いくつかの例では、EFEMは、エアロックがEFEM内に少なくとも部分的に位置することを可能にする構成を有する移送ロボットを含み得る。図2に示す製作室204は、複数の基板処理ツール1150を含み得る。
図5A~図6Cは、基板処理ツール1150などの複数の基板処理ツールを配置することができる構成の様々な例を示す。これらの例では、ウエハは様々な経路を通って移動する。したがって、これらの経路を通してウエハを輸送するために使用されるロボットの構成および動作は変化する。さらに、これらの例では、ロボットおよびウエハの様々な側面を感知するために、様々なセンサが使用される。加えて、図9A~図11を参照して詳細に説明するように、測定システムのレーザセンサなどの1つまたは複数の検出器を、これらの例のどこにでも載置することができる。
図5A~図5Dは、第1の基板処理ツール1200ー1、第2の基板処理ツール1200ー2、および第3の基板処理ツール1200ー3(総称して基板処理ツール1200)の例示的な構成の平面図を示す。基板処理ツール1150と同様に、基板処理ツール1200の各々は、ロードロック1208の少なくとも一部を収容するように構成された修正された機器フロントエンドモジュール(EFEM)1204を含む。言い換えれば、EFEM1204と真空移送モジュール(VTM)1212との間のギャップにおいてEFEM1204の外側に位置する代わりに、ロードロック208は、EFEM1204の内部に延びる。
したがって、EFEM1204は、VTM1212のより近くに位置することができ、全体的なフットプリントを低減し、基板処理ツール1200のピッチを増加させる。例えば、EFEM1204の移送ロボット1216は、EFEM1204の後壁1224(例えば、第2の側)よりも前壁(例えば、第1の側)上のローディングステーション1220の近くに配置され、ロードロック1208がEFEM1204の内部に延びるための空間を提供する。いくつかの例では、ロードロック1208は、図5Dの基板処理ツール1200ー3の代替の配置に示すように構成されてもよい。ほんの一例として、ローディングステーション1220は、フロントオープニングユニファイドポッド(FOUP)に対応し得る。
示すように、基板処理ツール1200は、6つのプロセスモジュール1228を含む。しかし、基板処理ツール1200の他の構成は、7つ以上のプロセスモジュール228を含んでもよい。例えば、VTM1212の長さは、追加のプロセスモジュール1228を収容するために延長され得る。同様に、VTM1212は、様々な構成を有する真空移送ロボット1232を含み得る。例えば、基板処理ツール1200ー1は、3つの真空移送ロボット1232を含み、基板処理ツール1200ー2は、2つの真空移送ロボット1232を含む。基板処理ツール1200-1および1200-3では、ロボット1232は、VTM1212の中心の長手方向軸と位置合わせされる。
逆に、基板処理ツール1200ー3は、1VTM212の中心の長手方向軸に対して中心から外れて配置された(すなわち、プロセスモジュール1228に向かって右または左にシフトされた)単一の真空移送ロボット1232を含む。言い換えれば、ロボット1232の主要な枢動点は中心から外れている。1つまたは2つのアームを有するように示されているが、ロボット1216および1232の各々は、1つ、2つ、またはそれ以上のアームを含む構成を有してもよい。いくつかの例では、ロボット1232は、図5Cおよび図5Dに示すように、各アーム上に2つのエンドエフェクタ1234を含み得る。
基板処理ツール1200は、処理段階の間に1つまたは複数の基板を記憶するように構成された1つまたは複数のストレージバッファ236を含むことができる。いくつかの例では、ストレージバッファ1240が、VTM1212内に位置し得る。いくつかの例では、ストレージバッファ1236の1つまたは複数は、プロセスモジュールまたは他の構成要素で置き換えられてもよい。
いくつかの例では、EFEM1204、ロードロック1208、VTM1212、およびプロセスモジュール1228の1つまたは複数は、積み重ねられた構成を有し得る。例えば、プロセスモジュール1228の各々は、垂直に積み重ねられた構成(すなわち、一方のプロセスモジュール1228が他方の上/下に配置される)の2つのプロセスモジュール1228に対応し得、VTM1212は、垂直に積み重ねられた構成の2つのVTM 1212に対応し得、ロードロック1208の各々は、垂直に積み重ねられた構成の2つのロードロック1208に対応し得、ローディングステーション1220の各々は、垂直に積み重ねられた構成の2つのローディングステーション1220に対応し得る。EFEM1204の高さを増加させ、ロボット1216をEFEM1204内の異なるレベルに上下させ、ローディングステーション1220およびロードロック1208の複数のレベルにアクセス可能にすることができる。理解され得るように、これらの構成におけるレーザセンサおよび他のセンサの配置は、それに応じて変化する可能性がある。
図6A~図6Cは、別の基板処理ツール1600の例示的な構成の平面図を示す。基板処理ツール1600は、1つまたは複数のロードロック1608の少なくとも一部を収容するように構成された修正された機器フロントエンドモジュール(EFEM)1604を含む。言い換えれば、EFEM1604と真空移送モジュール(VTM)1612との間のギャップにおいてEFEM1604の完全に外側に位置する代わりに、ロードロック1608は、EFEM1604の内部に延びる。したがって、EFEM1604は、VTM1612のより近くに位置することができ、全体的なフットプリントを低減し、複数の基板処理ツール1600のピッチを増加させる。
示すように、基板処理ツール1600は、10個のプロセスモジュール1616を含む。しかし、基板処理ツール1600の他の構成は、11個以上のプロセスモジュール1616を含んでもよい。例えば、VTM1612の長さは、追加のプロセスモジュール1616を収容するために延長され得る。同様に、VTM1612は、様々な構成を有する1つまたは複数の真空移送ロボット1620(例えば、移送ロボット1620ー1、1620ー2、1620ー3、1620ー4、および1620ー5)を含み得る。示すように、移送ロボット1620は、構成の各々において、3つのアームセグメント1628および1つのエンドエフェクタ1632を有する1つのアーム1624を含む。他の構成では、移送ロボット1620は、1つ、2つ、またはそれ以上のアーム1624を含んでもよい。いくつかの例では、ロボット1620は、各アーム1624上にエンドエフェクタ1632のうちの2つを含み得る。
図6Aでは、基板処理ツール1600は、VTM1612の中心の長手方向軸に対して中心から外れて配置された(すなわち、プロセスモジュール1616に向かって右または左にシフトされた)単一の真空移送ロボット1620ー1を含む。言い換えれば、ロボット1620ー1の主要な枢動点は中心から外れている。ロボット1620ー1は、10個のプロセスモジュール1616およびロードロック1608の各々にアクセスするように位置決めおよび構成される。基板処理ツール1600がストレージバッファ1636および/またはストレージバッファ1640を含む構成では、ロボット1620-1はまた、ストレージバッファ1636/1640にアクセスするように構成される。
図6Bおよび図6Cでは、基板処理ツール1600は、VTM1612の中心の長手方向軸に対して中心から外れて配置された(すなわち、プロセスモジュール1616に向かって右または左にシフトされた)2つの真空移送ロボット1620ー2および1620ー3または1620ー4および1620ー5をそれぞれ含む。ロボット1620ー2および1620ー4は、10個のプロセスモジュール1616およびロードロック608のうちの選択されたものにアクセスするように位置決めおよび構成される。逆に、ロボット1620-3および1620-5は、10個のプロセスモジュール1616の他のものにアクセスするように位置決めおよび構成される。基板処理ツール1600がストレージバッファ1636および/またはストレージバッファ1640を含む構成では、ロボット1620-3および1620-5はまた、ストレージバッファ1636にアクセスするように構成され得、一方、図6Bのロボット1620-2と1620-3の両方、ならびに図6Aのロボット1620ー4と1620ー5の両方は、ストレージバッファ1640にアクセスするように構成される。
例えば、図6Bに示すように、ロボット1620ー2は、プロセスモジュール1616のそれぞれと位置合わせされ(例えば、その水平軸を中心に)、ロボット1620ー3は、プロセスモジュール1616の隣接するものの間の中心に配置される。逆に、図6Cに示すように、ロボット1620ー4および1620ー5の各々は、プロセスモジュール1616のそれぞれと位置合わせされる。理解され得るように、これらの構成におけるレーザセンサおよび他のセンサの配置は、大幅に変化する可能性がある。
チャンバの例
図7A~図7Cは、図1~図6Cに示すツールで使用することができるプロセスモジュール(PM)の異なる例を示す。これらのプロセスチャンバはまた、PMの窓の外側に載置され、PMの内部を監視するために使用される1つまたは複数のカメラ(図示せず)を備えることができる。これらのカメラは、PMおよびツールの様々な動作を感知するために典型的には使用される他のセンサの一部である。測定システムは、図9~図11を参照して以下で説明するように、これらの他のセンサおよびカメラと連携して動作する。図7A~図7Cに記載のコントローラは、測定システムとデータを交換することができ、図9A~図11を参照して以下に説明するように、測定システムから受信したデータに基づいてそれぞれのPMを動作させることができる。
図7Aは、処理チャンバ1702を含む基板処理システム1700の例を示す。例はプラズマ強化化学気相堆積(PECVD)の場面で説明されているが、本開示の教示は、原子層堆積(ALD)、プラズマ強化ALD(PEALD)、CVD、またはエッチングプロセスを含む他の処理などの他のタイプの基板処理に適用することができる。システム1700は、システム1700の他の構成要素を取り囲み、RFプラズマ(使用される場合)を含む処理チャンバ1702を備える。処理チャンバ1702は、上部電極1704と、静電チャック(ESC)1706または他の基板支持体とを備える。動作中、基板1708がESC1706上に配置される。
例えば、上部電極1704は、プロセスガスを導入および分配するシャワーヘッドなどのガス分配デバイス1710を含み得る。ガス分配デバイス1710は、処理チャンバ1702の上面に接続された一端を含むステム部分を含むことができる。シャワーヘッドのベース部分は、概して円筒形であり、処理チャンバ1702の上面から離間された場所で、ステム部分の反対側の端部から半径方向外側に延びる。シャワーヘッドのベース部分の基板に面する表面またはフェースプレートは、気化した前駆体、プロセスガス、またはパージガスが流れる複数の穴を含む。あるいは、上部電極1704は、導電性プレートを含むことができ、プロセスガスは、別の方式で導入することができる。
ESC1706は、下部電極として作用するベースプレート1712を備える。ベースプレート1712は、セラミックマルチゾーン加熱プレートに対応し得る加熱プレート1714を支持する。熱抵抗層1716は、加熱プレート1714とベースプレート1712との間に配置され得る。ベースプレート1712は、ベースプレート1712を通して冷却剤を流すための1つまたは複数のチャネル1718を含むことができる。
プラズマが使用される場合、RF生成システム1720は、RF電圧を生成し、上部電極1704および下部電極(例えば、ESC1706のベースプレート1712)の1つに出力する。上部電極1704およびベースプレート1712のもう一方は、DC接地されるか、AC接地されるか、または浮動とすることができる。例えば、RF生成システム1720は、整合および分配ネットワーク1724によって上部電極1704またはベースプレート1712に供給されるRF電力を生成するRF発生器1722を含み得る。他の例では、プラズマは、誘導的または遠隔的に生成されてもよい。
ガス送給システム1730は、1つまたは複数のガス源1732-1、1732-2、…、および1732-N(総称してガス源1732)を含み、Nは、ゼロよりも大きい整数である。ガス源1732は、弁1734-1、1734-2、…、および1734-N(総称して弁1734)およびマスフローコントローラ1736-1、1736-2、…、および1736-N(総称してマスフローコントローラ1736)によってマニホールド1740に接続される。蒸気送給システム1742は、気化した前駆体を、処理チャンバ1702に接続されたマニホールド1740または別のマニホールド(図示せず)に供給する。マニホールド1740の出力は、処理チャンバ1702に供給される。
温度コントローラ1750は、加熱プレート1714に配置された複数の熱制御要素(TCE)1752に接続され得る。温度コントローラ1750を使用して複数のTCE1752を制御し、ESC1706および基板1708の温度を制御することができる。温度コントローラ1750は、冷却剤アセンブリ1754と通信し、チャネル1718を通る冷却剤の流れを制御することができる。例えば、冷却剤アセンブリ1754は、冷却剤ポンプ、リザーバ、および1つまたは複数の温度センサ(図示せず)を含み得る。温度コントローラ1750は、冷却剤アセンブリ1754を動作させ、チャネル1718を通して冷却剤を選択的に流してESC1706を冷却する。弁1756およびポンプ1758を使用して、処理チャンバ1702から冷却剤を排出することができる。システムコントローラ1760は、システム1700の構成要素を制御する。
図7Bは、基板処理システム1800の別の例を示す。基板処理システム1800は、コイル駆動回路1811を含む。いくつかの例では、コイル駆動回路1811は、RF源1812と、パルス回路1814と、調節回路(すなわち、整合回路)1813とを含む。パルス回路1814は、RF源1812によって生成されたRF信号のトランス結合プラズマ(TCP)エンベロープを制御し、動作中にTCPエンベロープのデューティサイクルを1%~99%の間で変化させる。理解され得るように、パルス回路1814およびRF源1812は、組み合わせることができるか、または別々とすることができる。
調節回路1813は、誘導コイル1816に直接接続することができる。基板処理システム1810は単一のコイルを使用するが、いくつかの基板処理システムは、複数のコイル(例えば、内側および外側コイル)を使用することができる。調節回路1813は、RF源1812の出力を所望の周波数および/または所望の位相に調節し、コイル1816のインピーダンスと一致させる。
誘電体窓1824は、処理チャンバ1828の上側に沿って配置される。処理チャンバ1828は、基板1834を支持する基板支持体(または台座)1832を備える。基板支持体1832は、静電チャック(ESC)、または機械的チャックまたは他のタイプのチャックを含み得る。プロセスガスは処理チャンバ1828に供給され、プラズマ1840は処理チャンバ1828の内部で生成される。プラズマ1840は、基板1834の露出面をエッチングする。RF源1850、パルス回路1851、およびバイアス整合回路1852を使用して、動作中に基板支持体1832にバイアスをかけてイオンエネルギーを制御することができる。
ガス送給システム1856を使用して、プロセスガス混合物を処理チャンバ1828に供給することができる。ガス送給システム1856は、プロセスおよび不活性ガス源1857と、弁およびマスフローコントローラなどのガス計量システム1858と、マニホールド1859とを含み得る。ガスインジェクタ1863は、誘電体窓1824の中心に配置することができ、ガス送給システム1856から処理チャンバ1828にガス混合物を注入するために使用される。追加的または代替的に、ガス混合物は、処理チャンバ1828の側面から注入され得る。
ヒータ/クーラ1864を使用して、基板支持体1832を所定の温度に加熱/冷却することができる。排気システム1865は、処理チャンバ内の圧力を制御するため、および/またはパージもしくは排出によって処理チャンバ1828から冷却剤を除去する弁1866およびポンプ1867を含む。
コントローラ1854を使用して、エッチングプロセスを制御することができる。コントローラ1854は、システムパラメータを監視し、ガス混合物の送給、プラズマの打撃、維持、および消滅、冷却剤の除去、冷却ガスの供給などを制御する。加えて、以下で説明するように、コントローラ1854は、コイル駆動回路1810、RF源1850、およびバイアス整合回路1852などの様々な態様を制御することができる。
図7Cは、基板の層をエッチングするための処理チャンバ1900を示す。処理チャンバ1900は、下部チャンバ領域1902と、上部チャンバ領域1904とを含む。下部チャンバ領域1902は、チャンバ側壁面1908、チャンバ底面1910、およびガス分配デバイス1914の下面によって画定される。
上部チャンバ領域1904は、ガス分配デバイス1914の上面およびドーム1918の内面によって画定される。いくつかの例では、ドーム1918は、第1の環状支持体1921上に置かれる。いくつかの例では、第1の環状支持体1921は、プロセスガスを上部チャンバ領域1904に送給するための1つまたは複数の間隔を置いた穴1923を含む。いくつかの例では、プロセスガスは、1つまたは複数の間隔を置いた穴1923によって、ガス分配デバイス1914を含む平面に対して鋭角で上向きに送給されるが、他の角度/方向を使用することもできる。いくつかの例では、第1の環状支持体1921内のガス流チャネル1934は、ガスを1つまたは複数の間隔を置いた穴1923に供給する。
第1の環状支持体1921は、プロセスガスをガス流路1929から下部チャンバ領域1902に送給するための1つまたは複数の間隔を置いた穴1927を画定する第2の環状支持体1925上に置くことができる。いくつかの例では、ガス分配デバイス1914における穴1931は、穴1927と位置合わせしている。他の例では、ガス分配デバイス1914はより小さな直径を有し、穴1931は必要とされない。いくつかの例では、プロセスガスは、1つまたは複数の間隔を置いた穴1927によって、ガス分配デバイス1914を含む平面に対して鋭角で基板1926に向かって下向きに送給されるが、他の角度/方向を使用することもできる。他の例では、上部チャンバ領域1904は、平坦な上面を備えた円筒形であり、1つまたは複数の平坦な誘導コイルを使用することができる。さらに他の例では、シャワーヘッドと基板支持体との間に位置するスペーサを備えた単一のチャンバを使用することができる。
基板支持体1922は、下部チャンバ領域1904に配置される。いくつかの例では、基板支持体1922は、静電チャック(ESC)を含むが、他のタイプの基板支持体を使用することができる。基板1926は、エッチング中に基板支持体1922の上面に配置される。いくつかの例では、基板1926の温度は、ヒータプレート1930、流体チャネルを備えた任意選択の冷却プレート、および1つまたは複数のセンサ(図示せず)によって制御され得るが、任意の他の適切な基板支持体温度制御システムが使用されてもよい。
いくつかの例では、ガス分配デバイス1914は、シャワーヘッド(例えば、複数の間隔を置いた穴1927を有するプレート1928)を含む。複数の間隔を置いた穴1927は、プレート1928の上面からプレート1928の下面に延びる。いくつかの例では、間隔を置いた穴1927は、0.4インチ~0.75インチの範囲の直径を有し、シャワーヘッドは、アルミニウムなどの導電性材料、または導電性材料で作製された電極が埋め込まれたセラミックなどの非導電性材料で作製される。
1つまたは複数の誘導コイル1940は、ドーム1918の外側部分の周りに配置される。励起されると、1つまたは複数の誘導コイル1940は、ドーム1918の内部に電磁場を生成する。いくつかの例では、上部コイルおよび下部コイルが使用される。ガスインジェクタ1942は、ガス送給システム1950-1から1つまたは複数のガス混合物を注入する。
いくつかの例では、ガス送給システム1950ー1は、1つまたは複数のガス源1952と、1つまたは複数の弁1954と、1つまたは複数のマスフローコントローラ(MFC)1956と、混合マニホールド158とを含むが、他のタイプのガス送給システムが使用されてもよい。ガススプリッタ(図示せず)を使用して、ガス混合物の流量を変えることができる。別のガス送給システム1950ー2を使用して、(ガスインジェクタ1942からのエッチングガスに加えて、またはその代わりに)エッチングガスまたはエッチングガス混合物をガス流チャネル1929および/または1934に供給することができる。
いくつかの例では、ガスインジェクタ1942は、下向きにガスを誘導する中心注入場所と、下向きに対してある角度でガスを注入する1つまたは複数の側面注入場所とを含む。いくつかの例では、ガス送給システム1950-1は、ガス混合物の第1の部分を第1の流量でガスインジェクタ1942の中心注入場所に送給し、ガス混合物の第2の部分を第2の流量でガスインジェクタ1942の側面注入場所に送給する。他の例では、異なるガス混合物がガスインジェクタ1942によって送給される。いくつかの例では、ガス送給システム1950-1は、以下に説明するように、調節ガスをガス流チャネル1929および1934に、および/または処理チャンバ内の他の場所に送給する。
プラズマ発生器1970を使用して、1つまたは複数の誘導コイル1940に出力されるRF電力を生成することができる。プラズマ1990は、上部チャンバ領域1904で生成される。いくつかの例では、プラズマ発生器1970は、RF発生器1972と、整合ネットワーク1974を含む。整合ネットワーク1974は、RF発生器1972のインピーダンスを1つまたは複数の誘導コイル1940のインピーダンスに一致させる。いくつかの例では、ガス分配デバイス1914は、アースなどの基準電位に接続される。弁1978およびポンプ1980を使用して、下部および上部チャンバ領域1902、1904内の圧力を制御し、冷却剤を排出することができる。
コントローラ1976は、ガス送給システム1950-1および1950-2、弁1978、ポンプ1980、およびプラズマ発生器1970と通信し、プロセスガス、パージガス、RFプラズマ、およびチャンバ圧力の流れを制御する。いくつかの例では、プラズマは、1つまたは複数の誘導コイル1940によってドーム1918の内部で維持される。1つまたは複数のガス混合物は、ガスインジェクタ1942(および/または穴1923)を使用してチャンバの上部から導入され、プラズマは、ガス分配デバイス1914を使用してドーム1918内に閉じ込められる。
ドーム1918内にプラズマを閉じ込めることにより、プラズマ種の容積再結合が可能になり、ガス分配デバイス1914を通して所望のエッチャント種を放出することができる。いくつかの例では、基板1926に適用されるRFバイアスはない。結果として、基板1926上にアクティブなシースはなく、イオンは有限のエネルギーで基板に当たっていない。ある量のイオンは、ガス分配デバイス1914を通してプラズマ領域から拡散する。しかし、拡散するプラズマの量は、ドーム1918の内部に位置するプラズマよりも一桁少ない。プラズマ中のほとんどのイオンは、高圧での容積再結合によって失われる。ガス分配デバイス1914の上面での表面再結合損失はまた、ガス分配デバイス1914の下のイオン密度を低下させる。
他の例では、RFバイアス発生器1984が提供され、RF発生器1986と、整合ネットワーク1988とを含む。RFバイアスを使用して、ガス分配デバイス1914と基板支持体との間にプラズマを生成するか、または基板1926上に自己バイアスを生成してイオンを引き付けることができる。コントローラ1976は、RFバイアスを制御するために使用され得る。
エッジ結合リングを使用して、基板の半径方向外縁近くのプラズマのエッチング速度および/またはエッチングプロファイルを調整することができる。エッジ結合リングは、典型的には、基板の半径方向外縁の周りの台座上に位置する。基板の半径方向外縁でのプロセス条件は、エッジ結合リングの位置、エッジ結合リングの内縁の形状またはプロファイル、基板の上面に対するエッジ結合リングの高さ、エッジ結合リングの材料などを変更することによって修正することができる。
図7Dは、台座1871を囲むエッジ結合リング1870の例を示す。エッジ結合リング1870は、単一の部分または2つ以上の部分を含み得る。示される例では、エッジ結合リング1870は、基板1873の半径方向外側に配置された第1の環状部分1872を含む。第2の環状部分1874は、基板1873の下の第1の環状部分1872から半径方向内側に位置する。第3の環状部分1876は、第1の環状部分1872の下に配置される。使用中、プラズマ1875は、基板1873の露出部分をエッチングするために基板1873に誘導される。エッジ結合リング1870は、基板1873の均一なエッチングが行われるようにプラズマを成形するのを助けるように配置される。エッジ結合リング1870が使用された後、エッジ結合リング1870の半径方向内側部分の上面は、侵食を示す可能性がある(例えば、1878において)。結果として、プラズマ1875は、基板1873の半径方向内側部分のエッチングよりも速い速度で基板1873の半径方向外縁をエッチングする傾向があり得、基板1873の半径方向外縁の近くで、基板1873の不均一なエッチングが発生し得る。いくつかの実施態様では、エッジ結合リングは3つ以上のリフトピン上に位置し、リングの上面が侵食されるにつれてリングを徐々に上に輸送し、リングの寿命の間、ESCの上のエッジ結合リングの高さの最適値を維持する。
エッジ結合リング1870の1つまたは複数の部分は、基板または台座1871に対して垂直および/または水平に移動することができる。この移動は、処理チャンバを開くことを必要とせずに、エッチングまたは他の基板処理中、基板1873に対するプラズマ1875のエッジ結合効果を変化させる。アクチュエータ1880は、基板1873に対してエッジ結合リング1870の1つまたは複数の部分を移動させるために、様々な場所に配置され得る。ほんの一例として、アクチュエータ1880は、エッジ結合リング1870の第1の環状部分1872と第3の環状部分1876との間に配置することができる。いくつかの例では、アクチュエータ1880は、圧電アクチュエータ、ステッピングモータ、空気圧駆動装置、または他の適切なアクチュエータを含んでもよい。いくつかの例では、1つ、2つ、3つ、または4つ以上のアクチュエータが使用される。他の例では、複数のアクチュエータをエッジ結合リング1870の周りに均一に配置することができる。アクチュエータは、処理チャンバの内側または外側に配置することができる。
アクチュエータ1880は、エッジ結合リング1870の1つまたは複数の部分を移動させ、エッジ結合リング1870の1つまたは複数の部分の位置を変更するために使用される。例えば、アクチュエータ1880を使用して、エッジ結合リング1870の第1の環状部分1872を移動させることができる。この例では、アクチュエータ1880は、上向きまたは垂直方向にエッジ結合リング1870の第1の環状部分1872を移動させ、それによりエッジ結合リング1870の第1の環状部分1872の縁部が基板1873の半径方向外縁に対してより高くなるようにする。結果として、基板1873の半径方向外縁近くのエッチング均一性が改善される。
アクチュエータ1880は、水平、対角線などの他の方向に移動することができる。エッジ結合リング1870の部分の水平移動は、基板1873に対してエッジ結合効果を中心に置くために実施され得る。例えば、アクチュエータ1880は、エッジ結合リング1870の半径方向外側に配置され得る。加えて、アクチュエータ1880は、垂直(または上/下)方向ならびに水平(または左右)方向に移動することができる。基板のエッチングが、基板に対するエッジ結合リング1870の水平オフセットを示す場合、水平の再配置を使用することができる。水平オフセットは、処理チャンバを開かずに是正することができる。同様に、エッジ結合リング1870の傾斜は、アクチュエータのいくつかを他のアクチュエータとは異なる方法で作動させ、左右の非対称性を是正または作成することによって実施することができる。
測定システム
上記の説明から理解され得るように、様々なタイプのウエハ上で実施される様々なタイプのプロセスが存在する。ウエハは、異なる構成を有するツールに配置されたプロセスモジュールで処理される。実施されるプロセスおよびツールの構成に応じて、ウエハおよび関連するエッジ結合リングは、しばしばチャンバに出入りする(すなわち、輸送される)。様々なタイプのデータが、チャンバおよびツール内の様々な場所に配置されたセンサを使用して、これらのチャンバおよびツールから収集される。これらのセンサは、処理チャンバ内の様々な構成要素に関する追加のデータを観察および提供するために、処理チャンバの周りに配置されたカメラを含むことができる。
追加のカメラをツールの他の場所(例えば、エアロックの上)に配置することができ、エッジ結合リングの様々なパラメータを測定するために使用することができる。しかし、X、Y、およびZ軸に沿ったエッジ結合リングのプロファイル(3Dモデル)を決定するには、典型的には、新品/使用済みのエッジ結合リングが通過する場所(例えば、エアロック、アライナ、ロボットアームなど)に配置された少なくとも2つのカメラが必要である。1ミクロン以下の精度でプロファイルを得るために、カメラは、非常に高解像度であり、高品質のレンズと共に高精度の機械加工されたブラケットに取り付けられる必要がある。そのようなカメラシステムは嵩張り、高価である。さらに、カメラを使用するために、照明は、一貫した反復可能な方式で配置される必要がある。さらに、これらのカメラによってキャプチャされた画像を処理するために使用されるコンピュータビジョンアルゴリズムは、非常に複雑で計算コストが高くなる可能性があるが、それでもある程度の不確実性を有する可能性がある。すべてのこれらの問題により、カメラの使用が非常に困難になる。
代わりに、本開示は、1つまたは複数のレーザ(光)センサを使用して、X、Y、およびZ軸に沿ったエッジ結合リングおよびウエハのプロファイル(例えば、半径方向および接線方向のエッジリングの厚さ)を決定するためのシステムおよび方法を提供する。レーザセンサは、高解像度カメラよりもサイズが小さい。レーザセンサは、0.1ミクロン以下の精度でプロファイルを測定することができる。レーザセンサは、高解像度カメラおよびレンズよりも比較的安価であり、より高い測定精度を提供することができる。レーザセンサは、カメラが必要とする追加の照明配置を必要としない。さらに、レーザセンサによってキャプチャされたデータを処理するためのアルゴリズムは、堅牢で、再現性があり、かつ実装が容易である。
レーザセンサは、エッジ結合リングおよびウエハの広範囲の変数(幾何学的パラメータ)を測定することができる。例えば、エッジ結合リングの変数には、限定はしないが、厚さ、幅、外径/内径、反り/平坦度、2D/3D形状、および表面粗さが含まれ得る。例えば、ウエハの変数には、限定はしないが、厚さおよび反り/平坦度が含まれ得る。新品/使用済みのエッジ結合リングの厚さの変化を含むこれらのパラメータのいずれかの変化は、処理モジュールにおけるプロセス特性(エッチング速度、エッチング均一性など)を定量化するためのツールである。同様に、ウエハの厚さなどの変化は、処理モジュールにおけるプロセス特性(エッチング/堆積速度、エッチング/堆積均一性など)の指標である。ツールのフリート全体のこれらの変化の測定値は、プロセスレシピパラメータを調整(最適化)し、自動化された閉ループ方式でPM構成(ESCの上のエッジ結合リングの高さ、上部/下部電極ギャップ/位置合わせ/傾斜など)および自動洗浄レシピ/頻度を制御することによって、自律プロセス最適化およびPM整合化に使用することができる。
使用されるエッジ結合リングの平坦度を測定することによって、接線方向にわたる不均一なエッチング速度を識別することができる。この情報を使用して、PMパラメータを調節し(例えば、ESCの空間依存温度分布、人為的な不均一性をエッジ結合リングリフトピンの高さ調節に追加するなど)、PM整合化、自律プロセス最適化、均一なオンウエハ結果などを達成することができる。PM制御パラメータは、フリート全体の工場の動作を監視する分散コンピューティングシステム上で実行される機械学習アルゴリズムを使用して決定することができる(以下に説明される)。
PM不一致、ならびに上部/下部電極アセンブリの不整合、チャンバ部品公差およびアセンブリ公差スタックアップの変動、チャンバサブシステムの誤動作(弁、アクチュエータ、センサ、加熱/冷却機構など)、ならびにチャンバ上へのプロセス副産物の蓄積の変動(チャンバ洗浄プロセスの品質)を含む最適でないプロセス結果の複数の原因が存在する。これらの要因のいずれかが、PM内のプラズマ場の均一性を変化させ、プロセス結果に影響を及ぼす可能性がある。反射率測定法および干渉法を介して1D/2D/3Dレーザセンサデータから抽出することができる、半径方向および接線方向の厚さの変化、上面の傾斜、内径(ID)、およびエッジ結合リングの前処理/後処理の表面粗さなどのパラメータは、上記のPM問題を示し得る。問題が検出されると、是正措置を遠隔サーバ上で識別し、ツール上で実施することができる。
本開示全体を通して、自律プロセス最適化およびPM整合化への言及は、自動化された閉ループ方式でのプロセスレシピパラメータの調整(最適化)、PM構成(ESCの上のエッジ結合リングの高さ、上部/下部電極ギャップ/位置合わせ/傾斜など)の制御、および自動洗浄レシピ/頻度を指すと理解されるべきである。さらに、本開示全体を通して、PM整合化への言及は、PM不一致についての複数の原因、ならびに上部/下部電極アセンブリの不整合、チャンバ部品公差およびアセンブリ公差スタックアップの変動、チャンバサブシステムの誤動作(弁、アクチュエータ、センサ、加熱/冷却機構など)、ならびにチャンバ上へのプロセス副産物の蓄積の変動(チャンバ洗浄プロセスの品質)を含む最適でないプロセス結果を修正することとして理解されるべきである。
是正措置は、洗浄プロセスだけでなく他のパラメータに対しても実施される。非限定的な例は、以下で説明される。是正措置の例には、プロセスパラメータ(例えば、ガス流、圧力、上部電極温度、ESC空間依存温度分布、バイアス電圧、エッチング/堆積速度、エッチング/堆積均一性など)の調節、上部/下部電極のギャップおよび位置合わせ/傾斜、ESCの上のエッジリング高さ、洗浄プロセスレシピおよび頻度などが挙げられる。洗浄機構の例には、ウエハレス自動洗浄(WAC)、カバーウエハ領域洗浄(CWAC)などが挙げられる。フリート全体でこれらのパラメータの測定値を収集することは、閉ループ方式でプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)ならびにWAC/CWACパラメータ、期間、頻度などを調節するのに役立つ可能性がある。エッジ結合リングの前処理/後処理のIDエッジ形状/プロファイルの変化は、PM問題の指標となり得、これによりガイド付きトラブルシューティングおよびPMメンテナンスの自動プロンプトが可能になる。経時的に収集された測定値に基づいて決定されたIDエッジ付近の異なる厚さプロファイルは、特定の部品/サブシステムの誤動作を示すのに役立ち得る。同様に、ウエハ測定値を使用して、ウエハ上のプロセス特性の変動、ならびに洗浄品質を評価することもできる。
さらに、異なるチャンバで使用される洗浄プロセスは、それらのチャンバで実施される処理のタイプ(例えば、エッチング、堆積など)に基づいて大きく異なる可能性がある。例えば、いくつかのチャンバは、すべてのウエハを処理した後に洗浄する必要があり、一別のチャンバは、複数のウエハを処理した後に洗浄する必要がある場合がある。さらに、洗浄プロセスレシピのパラメータもまた変化し得る。洗浄プロセスレシピのタイプおよび頻度などのこれらすべての要因は、エッジ結合リングおよびウエハの測定値と相関させることができる。相関関係に基づいて訓練されたモデルを使用して、洗浄プロセスを自動化することができる。例えば、使用中、エッジ結合リングおよび/またはウエハの測定値に基づいて、訓練されたモデルは、WACレシピおよび洗浄の頻度を選択することができる。さらに、PM性能(例えば、エッチング速度、エッチング均一性、自動洗浄など)は、継続的に実施される測定を使用して評価することもでき、PM性能パラメータは、自律プロセス最適化およびPM整合化を達成するために、これらの評価に基づいてオンザフライで微調節することができる。
したがって、測定システムは、洗浄プロセスの性能を評価するだけでなく、オンウエハプロセス結果(例えば、エッチング速度および堆積速度の均一性)も評価する。さらに、図9A以降を参照して以下で説明するように、エッジ結合リングおよび/またはウエハの測定値に基づいて、測定システムは、訓練された1つまたは複数のモデルを使用して、プロセスパラメータ(ガス流、圧力、上部電極温度、ESC空間依存温度分布、バイアス電圧など)、上部/下部電極ギャップおよび位置合わせ/傾斜、ESCの上のエッジリング高さを自律的に調節することができる。本開示全体を通して、エッジ結合リングに関して使用される「エッチング速度」という語句は、「有効なエッチング速度」として、およびウエハに関して使用される場合の「エッチング速度」として理解されるべきである。
本開示によるこれらのシステムおよび方法を実装するために、ビッグデータおよび機械学習プラットフォーム(以下に説明される)で構成される分散コンピューティングシステムが使用される。機械学習アプリケーションの大部分は、モデル訓練に使用するためにデータ収集およびデータラベリングの初期段階を必要とする。この初期期間(例えば、6ヶ月から数年であり得る)のデータラベリングは、自動化または手動である可能性がある。モデルが機械学習を使用して訓練された後、訓練されたモデルがリアルタイムで使用され、使用中(すなわち、製造中)に継続的に収集された新しいデータに基づく継続的な訓練によってそれらの精度が改善される。
エッジ結合リングおよびウエハの幾何学的パラメータは、例えば、以下のようにエアロックチャンバの蓋またはカバー上に配置された1つまたは複数のレーザセンサを使用して測定することができる。例えば、エッジ結合リングまたはウエハの厚さプロファイルの変化は、以下のようにエアロックチャンバの上に配置されたレーザセンサを使用して測定することができる。PMでエッジ結合リングまたはウエハを使用する前に、レーザセンサは、エッジ結合リングまたはウエハがエアロックチャンバ内に置かれている間に、レーザビームをエッジ結合リングまたはウエハの上面に送信する。レーザセンサは、エッジ結合リングまたはウエハの上面からのレーザビームの反射を感知する。光干渉法は、レーザセンサ内の光源(エミッタ)からエッジ結合リングまたはウエハの上面に、そしてレーザセンサの感知要素に戻るまでのレーザビームの移動時間に基づいて実施され、レーザセンサとエッジ結合リングまたはウエハの上面との間の距離が計算される。エッジ結合リングがPMで数RF時間使用された後(またはウエハが処理された後)、同じ手順が実施され、レーザセンサとエッジ結合リングまたはウエハの上面との間の距離が得られる。2つの距離測定値の差は、エッジ結合リングまたはウエハの厚さの変化を表す。反射率測定法および干渉法を使用して実施される測定に関する追加の説明は、図10A~図10Cに示すレーザセンサの説明を参照して続く。
エッジ結合リングは、異なる材料で作製することができる。ウエハはまた、異なる膜材料の複数の層を含むことができる。特に、ウエハ材料の上部膜層の組成は、エッチングおよび堆積後に変化する可能性がある。これらの材料のいくつかは、いくつかの周波数の光に対して透明である可能性があり、他の材料は、いくつかの他の周波数の光に対して不透明である可能性がある。センサは、異なる周波数の電磁波を放出および感知し、異なる材料で作製されたエッジ結合リングまたは膜の異なる材料を含むウエハの測定を実施することができるエミッタを含むことができる。これらの周波数は、測定中に制御することができる。例えば、これらの周波数は、エッジ結合リングの材料または測定中のウエハに応じて変更することができる。あるいは、広範囲の周波数成分で構成される白色光をエミッタとして使用することができる。
測定システムの全体的なデータフローは、以下の通りである。レーザセンサは、エッジ結合リングまたはウエハから感知されたデータをシステムコントローラに送る。システムコントローラは、データを処理し、前処理/後処理されたデータをホスト/クラウド(例えば、データセンタ)に送ることができる。自律プロセス最適化およびPM間整合化のために、測定は、いくつかのツールおよびツールのフリートのエッジ結合リングまたはウエハ上で実施される。いくつかのツールおよびツールのフリートからのデータは、ホスト/クラウド(例えば、データセンタ)で収集される。モデルは、機械学習を使用して、ホスト/クラウド(例えば、データセンタ)で収集されたデータに基づいて訓練される。使用中、モデルは、ツールからデータを受信し、受信したデータに基づいてリアルタイムまたはほぼリアルタイムで応答をツールに送り、ツールの性能を最適化する。
PMのエッチング速度が変化し、空間に依存する場合、エッジ結合リングの異なる領域が異なる速度で侵食される可能性がある。エッジ結合リングの侵食は、以下のようにエッジ結合リングの平坦度を測定することによって検出することができる。例えば、PMにおけるエッチング速度の変化に応じて、エッジ結合リング上の点/スポット(1D)、線(2D)、または領域(3D)であり得る場所Aは、エッジ結合リング上の点Bよりも速くまたは遅く侵食する場合がある。これは、点AおよびBでエッジ結合リングの厚さおよび傾斜を測定することによって検出することができる。点AおよびBでエッジ結合リングの厚さを測定する1つの方法は、エアロックにエッジ結合リングを載置し、点Aで厚さ測定を実施することである。次に、エッジ結合リングを回転させることができ(例えば、EFEMのアライナを使用して)、またはセンサの下でロボットを介して移動させることができ、厚さ測定を点Bで実施することができる。厚さ測定値が点AおよびBで異なる場合、エッチング速度はPMにおいて空間的に依存する。点AおよびBでの厚さ測定値の差は、エッチング速度がPMにおいて空間的に依存する量を定量化することができる。
この情報を使用して、処理チャンバ内で調整を行い、処理チャンバ全体でエッチング速度を均一にすることができる。例えば、情報に基づいて、チャックの空間温度プロファイルは、チャック内のマトリックスヒータを制御することによって変更することができ、エッジ結合リングの高さ/傾斜は、エッジ結合リングの高さ/傾斜を調整するために使用されるピンを制御することによって変更することができ、上部/下部電極アセンブリの位置合わせ/傾斜も調節することができる
同様の手順を使用して、PMでの処理前後のウエハの厚さの変化を測定することができ、測定を使用して、エッチング速度/均一性または堆積速度/均一性を決定し、PMで実施されるプロセスのレシピパラメータを調整し、PM内のチャックの温度プロファイルを調整することによって、プロセス結果を調整することができる。
2つ以上のレーザセンサを、例えば、エアロックチャンバ内で使用することができる。測定値の不確実性は、エッジ結合リングからデータを収集するために使用されるセンサの数に正比例して減少する可能性がある。しかし、センサの数を増やすと、コスト、データ処理の複雑さ、およびメンテナンスの問題も増える可能性がある。代わりに、堅牢なデータ処理と組み合わせて少数のセンサ(例えば、2つ)を使用することで、十分な精度を提供することができる。
レーザセンサは、電磁(EM)波(例えば、光)を放出するための送信要素と、物体(例えば、エッジリングまたはウエハ)の表面から反射されたEM波を測定するための受信要素とを含むことができる。送信要素は、エミッタの1つまたは複数のアレイを含むことができ、受信要素は、受信機の1つまたは複数のアレイを含むことができる。点(1D)測定の場合、1つのエミッタのみを使用して、EM波(例えば、光)をエッジ結合リングまたはウエハ上の点に送信することができる。線(2D)測定の場合、単一または線のエミッタを使用して、エッジ結合リングまたはウエハの一部に光を透過させることができる。エリア(3D)測定を行うために、1つまたは複数のエミッタのアレイを使用して、エッジ結合リングまたはウエハの領域に光を透過させることができる。あるいは、広帯域EMエミッタ(例えば、光源)および複数の受信機を備えたセンサを使用して、これらの測定を実施することができる。1つまたは複数のレンズおよびミラーを使用して、生成/受信されたEMビームを、物体または感知要素上の所望の場所に向かって平行な経路に誘導することができる。レーザセンサの例は、図10A~図10Cを参照して以下に示され説明される。
経時的に、ますます多くのデータが収集および分析されるにつれて、エッジ結合リングの侵食のシグネチャまたはパターン、およびウエハの厚さ変化を記録し、PMに必要な是正措置/調整と相関させることができる。これにより、プロセス最適化を大幅に簡素化し、ガイド付きトラブルシューティングおよび予知メンテナンスを可能にすることができる。例えば、レーザセンサを使用してエッジ結合リングまたはウエハから収集されたデータは、シグネチャ(特徴ベクトル)に変換され、訓練されたモデルに送信され得る。訓練されたモデルは、受信されたデータシグネチャを、データベースに記憶されたシグネチャおよび関連する是正措置と比較することができる。比較に基づいて、訓練されたモデルは、PM動作条件、プロセスレシピ、およびPM内の特定のアセンブリまたはサブアセンブリで必要な保守(例えば、自動洗浄、弁メンテナンス、リフトピン較正、上部/下部電極ギャップ調整など)に必要な修正を示す出力を返すことができる。場合によっては、是正措置は、PMで実施されるプロセスのプロセスパラメータの調整、チャックの温度プロファイルの変更、自動洗浄(WAC/CWAC)に使用されるレシピ、またはPMのエッジ結合リングの高さ/傾斜の調整であり得、これは、閉ループ方式でのシグネチャ比較に基づいて自動的に実施され得る。
したがって、本開示の測定システムは、問題に対処し、問題の解決策を提供するための階層的戦略を含む。例えば、データがエッジ結合リングまたはウエハから収集され、データシグネチャの形でモデルに送られるたびに、モデルは、シグネチャ比較を実施し、順番に実施され得る複数の解決策または修正措置を示すことができる。次に、ツールにおいて、システムコントローラは、措置がすでに実施されたかどうか、およびどの措置がすでに実施されたかを決定し、決定に基づく順序で次の措置を実施することができる。
例えば、エッジ結合リングについての特定の厚さシグネチャパターンを検出することに応答して、訓練されたモデルからの提案は、示された順序で以下の措置を実施することであり得る(ほんの一例として):最初にプロセスパラメータを変更し、かつ/またはチャックの空間依存温度プロファイルを変更し、温度プロファイルがすでに変更されている場合はエッジ結合リングの高さ/傾斜を変更し、エッジ結合リングの高さ/傾斜がすでに変更されてそれ以上変更することができない場合は最終的にエッジ結合リングを交換する。
ウエハについての特定のシグネチャパターンを検出することに応答して、訓練されたモデルからの提案は、示された順序で以下の措置を実施することであり得る(ほんの一例として):最初にチャックの空間依存温度プロファイルを変更し、温度プロファイルがすでに変更されている場合はプロセスレシピパラメータを変更し、上述のようにエッジ結合リングに関連する1つまたは複数の項目を変更する。
加えて、エッジ結合リングまたはウエハの重量も監視され、エッジ結合リングまたはウエハの侵食量、ならびにウエハ上にエッチング/堆積された材料の量の指標として使用することができる。例えば、エッジ結合リングおよび/またはウエハの重量を感知するためのセンサは、例えば、ウエハフィンガに埋め込まれたエアロックチャンバ(またはツール内の他の適切な場所)に設置することができる。あるいは、ベイジアンまたはカルマンフィルタ法などのセンサ融合アルゴリズムを使用して、測定の不確実性を低減するために、レーザセンサからの測定値をエッジ結合リングおよびウエハの重量測定値と組み合わせることができる。
本開示のこれらのシステムおよび方法を使用して、ウエハを処理の前後に監視することができ、定期的に(例えば、毎日)エッジ結合リングを監視することができ、PMパラメータを調整することができ、および/またはPM内のレシピを微調節する(最適化する)ことができる。本開示のシステムおよび方法のこれらおよび他の特徴は、次にさらに詳細に説明される。
広範囲のセンサハードウェアを使用して、エッジ結合リングおよびウエハの異なる幾何学的パラメータを測定することができる。レーザセンサによってエッジ結合リングおよびウエハから収集されたデータを使用して、PM内のプロセスが正しく実行されているかどうか、PM/ツール内のプロセスおよび/または任意の構成要素を何らかの方法で変更/調整する必要があるかどうか、予防メンテナンス(例えば、PM洗浄)を実施する必要があるかどうか、またはPM/ツール内の任意の構成要素を保守もしくは交換する必要があるかどうかなどを検証することができる。
要約すると、本開示の測定システムは、ツール内の1つまたは複数の場所(例えば、ツールのエアロックの上、ロボットアーム上、アライナ上など)に載置されたレーザセンサなどの1つまたは複数の検出器を備える。これらのレーザセンサは、エッジ結合リングおよびウエハがツールの異なるプロセスモジュール間で輸送されるとき、エッジ結合リングおよびウエハからデータをキャプチャする。例えば、これらのレーザセンサは、入ってくる/出て行くエッジ結合リングおよびウエハからデータをキャプチャする。これにより、エッジ結合リングおよびウエハのほぼその場(near in-situ)での検査が可能になり、PM間整合化、自律プロセス最適化、および予知メンテナンスが可能になる。エッジ結合リングまたはウエハがツールのPMに着座している間は検査が実施されないが、それにもかかわらず、エッジ結合リングまたはウエハがPMからツールのエアロックに輸送されるときに検査が実施される(すなわち、PMの近傍でほぼ同時に実施される)ので、検査はほぼその場と呼ばれる。
これらのレーザセンサによって収集されたデータは、レーザセンサに埋め込まれたプロセッサ、またはレーザセンサの外部のプリント回路基板、またはシステムコンピュータ上での特徴抽出のために処理することができる。抽出された特徴または生データは、ファブ内の様々なツールから入ってくるビッグデータの記憶が可能なフリート全体の分散コンピューティングおよび通信システムに送信され、収集される。測定システムは、機械学習を使用してモデルを構築および訓練し、データを相関させて自律プロセス最適化およびPM間整合化を達成し、フリート全体のツールのほぼリアルタイムの閉ループ制御を実施する。測定システムはまた、様々なメンテナンス、トラブルシューティング、およびツール制御タスクを自動化することによって、フリート全体の半導体ツールの自律動作および制御を可能にする。
例えば、測定システムを使用して、エアロックにおけるエッジ結合リングの厚さおよびエッジプロファイル(内径、半径方向および接線方向の勾配など)の変化を監視し、入ってくる/出て行くエッジ結合リングのエッジ侵食プロファイル間の変化に基づいて、プロセスモジュール内のプロセス特性(例えば、エッチング速度、エッチング均一性など)を決定することができる。このデータを使用して、プロセスモジュールのレシピ、リフトピンを介したチャックの上のエッジ結合リングの高さを自動的に調節し、かつ/または自律プロセス最適化およびツール間プロセスモジュール整合化のためにチャック内の1つまたは複数のヒータを制御することによってチャックの温度プロファイルを調節することができる。
環境
以下は、本開示のシステムおよび方法を実装することができる分散コンピューティング環境の単純な例である。説明全体を通して、サーバ、クライアントデバイス、アプリケーションなどの用語への言及は、例示のみを目的としている。サーバおよびクライアントデバイスという用語は、機械可読命令を実行するように構成された1つまたは複数のプロセッサおよびメモリを備えたコンピューティングデバイスを表すものとして広く理解されるべきである。アプリケーションおよびコンピュータプログラムという用語は、コンピューティングデバイスによって実行可能な機械可読命令を表すものとして広く理解されるべきである。
図8Aは、本開示による測定システム1770の高レベルアーキテクチャを示す。測定システム1770は、図9A以降を参照して詳細に説明される。測定システム1770は、分散コンピューティングシステム1774に通信可能に接続された複数のフリート1772-1、1772-2、…、および1772-N(総称してフリート1772)を備える。例えば、ツールのフリートは、図2に示す製作施設におけるツールの配置と同様とすることができる。各フリート1772は、1つまたは複数の検出器(例えば、レーザセンサ)1780、データプロセッサ1782、知覚アルゴリズム1784、コントローラ1786、ロボット1788、アクチュエータ1790、およびレシピ1792を備える。
測定システム1770の概要を、以下に提示する。測定システム1770の詳細は、図9A以降を参照して以下に説明される。簡単に言えば、検出器1780は、フリート1772においてツール内のエッジ結合リングおよびウエハからデータをキャプチャするレーザセンサを含む。データプロセッサ1784は、キャプチャされたデータを処理する。例えば、データプロセッサ1784は、ノイズフィルタリング、特徴検出および抽出などのような動作を実施することができる。
分散コンピューティングシステム1774は、複数のサーバを備える(例えば、図8B~8Dおよび図11参照)。分散コンピューティングシステム1774は、フリート1772で特定のタスクを自動的に実施するために使用することができる様々なモデルを訓練する。分散コンピューティングシステム1774は、経時的にフリート1172から収集されたデータに基づいて様々なモデルを訓練する。分散コンピューティングシステム1774は、知覚、センサ融合、および機械学習アルゴリズムを使用して様々なモデルを訓練する。
使用中、フリート1772の動作の間、キャプチャされたデータは、分散コンピューティングシステム1774内の訓練されたモデルに送られる。それに応じて、フリート1772内のコントローラ1786は、リアルタイムで訓練されたモデルの出力を入力として受信し、図9A以降を参照して以下でさらに詳細に説明するように、フリート1772内のPMおよびツールの構成要素の調整、洗浄、保守、ガイド付きトラブルシューティングなどを実施することによって、フリート1772内のツールで使用されるプロセスおよびレシピの最適化ならびにPM整合化などの動作を自動的に実行する。
図8Bは、分散コンピューティングシステム2000の簡略化された例を示す。分散コンピューティングシステム2000は、分散通信システム2010と、1つまたは複数のクライアントデバイス2020ー1、2020ー2、…、および2020ーM(総称してクライアントデバイス2020)と、1つまたは複数のサーバ2030ー1、2030ー2、…、および2030ーN(総称してサーバ2030)とを含む。MおよびNは、1以上の整数である。分散通信システム2010は、ローカルエリアネットワーク(LAN)、インターネットなどのワイドエリアネットワーク(WAN)、または他のタイプのネットワークを含み得る。クライアントデバイス2020およびサーバ2030は、異なる地理的場所に位置し得、分散通信システム2010を介して互いに通信し得る。クライアントデバイス2020およびサーバ2030は、無線および/または有線接続を使用して分散通信システム2010に接続する。
クライアントデバイス2020は、1つまたは複数のツール、ツールを制御するシステムコンピュータ、PM、およびPMを制御するコントローラを含み得る。クライアントデバイス2020はまた、ツールのオペレータによって使用されるスマートフォン、携帯情報端末(PDA)、タブレット、ラップトップコンピュータ、パーソナルコンピュータ(PC)などを含み得る。サーバ2030は、複数のサービスをクライアントデバイス2020に提供することができる。例えば、サーバ2030は、1つまたは複数のベンダによって開発されたソフトウェアおよび機械学習アプリケーションを実行することができる。サーバ2030は、サービスをクライアントデバイス2020のユーザに提供する際にソフトウェアアプリケーションによって依存される複数のデータベースをホストすることができる。サーバ2030およびデータベースは、クラウド、オンプレミス、またはその両方でホストされてもよい。
いくつかの例では、クライアントデバイス2020またはサーバ2030の1つまたは複数は、ツールにインストールされたレーザセンサによってキャプチャされたデータを処理する1つまたは複数のアプリケーションを実行する。アプリケーションはまた、機械学習技法を使用してキャプチャデータに基づいて1つまたは複数のモデルを訓練する。加えて、アプリケーションは、ツールおよびPM内の他の様々なセンサからデータを受信して分析する。アプリケーションは、モデルを使用して、レーザセンサおよび他のセンサから収集されたデータを分析し、PMで実行されるプロセスの最適化、PM整合化、および診断、ならびにツールおよびPMにおける問題の根本原因のガイド付きトラブルシューティング、予知メンテナンスなどの様々な機能を実施する。アプリケーションは、サービスとしてのソフトウェア(SaaS)として実装され得る。
図8Cは、クライアントデバイス2120-1の簡略化された例を示す。クライアントデバイス2120-1は、典型的には、1つまたは複数の中央処理装置(CPU)、1つまたは複数のグラフィカル処理ユニット(GPU)、および1つまたは複数のテンソル処理ユニット(TPU)(総称してプロセッサ2150として示される)、1つまたは複数の入力デバイス2152(例えば、キーパッド、タッチパッド、マウス、タッチスクリーン、カメラなどの検出器またはセンサなど)、ディスプレイ2156を含むディスプレイサブシステム2154、ネットワークインターフェース2158、メモリ2160、およびバルクストレージ2162を含んでもよい。
ネットワークインターフェース2158は、分散通信システム2110を介して、クライアントデバイス2120-1を分散コンピューティングシステム2000に接続する。例えば、ネットワークインターフェース2158は、有線インターフェース(例えば、イーサネット(商標)、EtherCAT(登録商標)、またはRSー485インターフェース)および/または無線インターフェース(例えば、WiーFi(商標)、Bluetooth(登録商標)、近距離無線通信(NFC)、または他の無線インターフェース)を含み得る。メモリ2160は、揮発性または不揮発性メモリ、キャッシュ、または他のタイプのメモリを含み得る。バルクストレージ2162は、フラッシュメモリ、磁気ハードディスクドライブ(HDD)、および他のバルクストレージデバイスを含み得る。
クライアントデバイス2120-1のプロセッサ2150は、オペレーティングシステム(OS)2164および1つまたは複数のクライアントアプリケーション2166を実行する。クライアントアプリケーション2166は、分散通信システム2110を介してサーバ2130にアクセスするアプリケーションを含む。クライアントアプリケーション2166は、ツールを制御するシステムコンピュータによって実行されるアプリケーションを含み得る。クライアントアプリケーション2166はまた、ツールにインストールされたレーザセンサによってキャプチャされたデータを処理するアプリケーション、およびパーセプトロンアルゴリズムを実行するアプリケーションを含み得る。
図8Dは、サーバ2130-1の簡略化された例を示す。サーバ2130ー1は、典型的には、1つまたは複数のCPU/GPU/TPUまたはプロセッサ2170、ネットワークインターフェース2178、メモリ2180、およびバルクストレージ2182を含む。いくつかの実施態様では、サーバ2130-1は、汎用サーバであり得、1つまたは複数の入力デバイス2172(例えば、キーパッド、タッチパッド、マウスなど)と、ディスプレイ2176を含むディスプレイサブシステム2174とを含み得る。
ネットワークインターフェース2178は、サーバ2130-1を分散通信システム2110に接続する。例えば、ネットワークインターフェース2178は、有線インターフェース(例えば、イーサネットまたはEtherCATインターフェース)および/または無線インターフェース(例えば、WiーFi、Bluetooth、近距離無線通信(NFC)、または他の無線インターフェース)を含み得る。メモリ2180は、揮発性または不揮発性メモリ、キャッシュ、または他のタイプのメモリを含み得る。バルクストレージ2182は、フラッシュメモリ、1つまたは複数の磁気ハードディスクドライブ(HDD)、または他のバルクストレージデバイスを含み得る。
サーバ2130ー1のプロセッサ2170は、1つまたは複数のオペレーティングシステム(OS)2184および1つまたは複数のサーバアプリケーション2186を実行し、これらは仮想マシンハイパーバイザまたは共有メモリを備えたコンテナ化アーキテクチャに収容され得る。バルクストレージ2182は、それぞれの機能を実施するためにサーバアプリケーション2186によって使用されるデータ構造を記憶する1つまたは複数のデータベース188を記憶することができる。サーバアプリケーション2186は、ツールにインストールされたレーザセンサによってキャプチャされたデータを処理するアプリケーション、パーセプトロンアルゴリズムを実行するアプリケーション、機械学習技法を使用してキャプチャされたデータに基づいて1つまたは複数のモデルを訓練するアプリケーション、ならびにツールおよびPM内の他の様々なセンサからデータを受信および分析するセンサ融合アプリケーションを含み得る。
状況によっては、レーザセンサによってキャプチャされたデータのみでは、自律プロセス最適化、PM整合化、予知メンテナンス、およびガイド付きトラブルシューティングのための正確なモデルを開発するのに十分でない場合がある。ベイジアンまたはカルマンフィルタ法などのセンサ融合アプリケーションを使用して、ツール内の他のセンサからのデータをレーザセンサによってキャプチャされたデータと組み合わせて使用して、プロセスレシピ、PM構成、および問題の原因または根本原因の詳細に必要な変更を高い確実性で決定することができる。サーバアプリケーション2186は、モデルを使用して、レーザセンサおよび他のセンサから収集されたデータを分析し、PMで実行されるプロセスを最適化し、PM構成を制御し、PM整合化を実施し、ガイド付きトラブルシューティングのためにツールおよびPMにおける問題の根本原因を決定し、以下で詳細に説明するように、これらのデータをツールの閉ループ自律制御のためのフィードバックとして使用するアプリケーションを含み得る。
ブロック図
図9Aは、ツールのエアロックチャンバに設置されたレーザセンサの例を示す。ほんの一例として、レーザセンサ2200は、エアロックチャンバ2202上のエアロック蓋の観察ポートに配置される。レーザセンサ2200は、エアロックチャンバ2202内に載置される必要はなく、ツール上の他の既存のステーションは、センサの設置に使用することができる。測定を実施するために、専用ステーションをVTMまたはEFEMに設計することができる。1つまたは複数のレーザセンサは、新品/使用済みのエッジ結合リングおよびウエハが通過する任意の他の場所(例えば、エアロック、アライナ、ロボットアーム、VTMなど)に配置することができることを理解されたい。
図9Bは、新品のエッジ結合リングの断面を示す。厚さ変化を説明するために、エッジ結合リングの半分の部分のみが示されている。図9Cは、処理による侵食により厚さが変化した使用済みエッジ結合リングの断面を示す。この場合も、厚さ変化を説明するために、エッジ結合リングの半分の部分のみが示されている。半径方向に沿った厚さ減少は、不均一である。示すように、エッジ結合リングの外径(OD)よりも内径(ID)でより多くの厚さ減少が起こり得る。
図9Dは、エッチング前のウエハを示す。図9Eは、エッチング後のウエハの厚さの減少を示す。厚さ減少は、不均一であり得る。図9Fは、堆積前のウエハを示す。図9Gは、堆積後のウエハの厚さの増加を示す。厚さ増加は、不均一であり得る。図9B~図9Gに示す厚さ変化は、以下のようにレーザセンサを使用して測定することができる。
図9Aは、エアロックチャンバ2202内に位置する構成要素の概略図を示す。エアロックフィンガ2300、2302は、それぞれ、フィンガパッド2304、2306を含む。ウエハ(現在示されている)は、ロボットによってエアロックチャンバ2202に輸送され、フィンガパッド2304上に置かれる。ロボットのエンドエフェクタ2310は、パッド2312を有する。エッジ結合リング2314は、ロボットによってエアロックチャンバ2202に輸送され、フィンガ2300上に位置するパッド2316上に置かれる。
エアロック蓋上に位置するレーザセンサ2200は、1つまたは複数の電磁波(光/レーザビーム)をエッジ結合リング2314上に放出(すなわち、送信)し、エッジ結合リング2314またはウエハ(図示せず)から反射された波を受信する(すなわち、感知または検出する)。エッジ結合リング2314またはウエハの上面を感知することに加えて、レーザセンサ2200は、エッジ結合リング2314またはウエハの他の部分を感知することができる。例えば、レーザセンサ2200は、エッジ結合リング2314またはウエハの外径(OD)、エッジ結合リング2314の内径(ID)、エッジ結合リングの表面粗さなどを感知することができる。X、Y、およびZ次元におけるエッジ結合リング2314およびウエハの幾何学的パラメータを感知するために、複数のレーザセンサを使用することができる。さらに、センサ2200から放出される電磁波の周波数は、エッジ結合リング2314およびウエハの材料に応じて制御および変更することができる。
処理(例えば、エッチングまたは堆積)の前後のエッジ結合リング2314およびウエハの測定に基づいて、図9B~図9Gに示すようなエッジ結合リング2314およびウエハの幾何学的パラメータの変化を決定することができる。変更に基づいて、PM内のプロセスを最適化することができ、図12以降を参照して以下で詳細に説明するように、PM整合化をフリート全体で実施することができる。
図10A~図10Cは、センサ2200の非限定的な例を示す。図10Aは、光反射率測定法を使用するエッジ結合リングおよびウエハなどのターゲットの1D(点/スポット)高さ測定に適したレーザセンサ2201示す。レーザセンサ2201は、エミッタ2202と、受信機(検出器とも呼ばれる)2204とを備える。エミッタ2202は、レンズ、ミラー、および強度コントローラ(図示せず)などの様々な光学構成要素を備え得る。光学構成要素を使用して、ビームの不規則性を排除しながらレーザースポットを軽く集束させ、ビームスポットのサイズが一定であるときに微細なターゲットの測定を可能にすることができる。受信機2204は、光学歪みの影響を最小化するためのレンズおよびフィルタ、ならびに感知要素のアレイを備える。強度コントローラは、放出時間の分解能を改良し、これにより光強度調整における感度が向上する。強度コントローラは、目標反射率でレーザ放出時間およびレーザ電力をシフトすることができる。測定原理は、三角測量に基づいている。エミッタおよび検出器の既知の相対位置を考慮すると、ターゲットの位置は、検出器での反射ビームスポットの場所を決定することによって計算することができる。
半透明の物体は、レーザセンサ2201からのレーザビームが物体の内部を透過し、受信された光波パターンが穏やかに広がるとき、表面の下に拡散反射を引き起こす。レーザセンサ2201は、拡大された波パターンの影響を打ち消すことによって検出を実施することができる。透明な物体の場合、レーザセンサ2201は、透明な物体の各層の反射光を感知して調整することによって、レーザ強度を最適化する。測定は各層の反射率の影響を受けないため、高精度が達成される。金属表面の場合、レーザセンサ2201は、粗いターゲット表面の粗さの影響を最小化する。平坦に見え得る表面は、しばしば微細な突起およびくぼみを含む。この微視的な表面粗さは、従来のセンサではしばしば測定誤差を引き起こす可能性がある。対照的に、広いビームスポットを使用することによって、レーザセンサ2201は、凹凸のある表面の影響を平均化し、粗いターゲットの安定した測定値を得ることができる。
図10Bは、光反射率測定法を使用する線を横切る2D(線)高さプロファイル測定に適したレーザセンサ2210を示す。レーザセンサ2210は、エミッタ2212と、受信機2214とを含み、これらは受信感知要素の1つまたは複数のアレイで構成され得る。感知要素は、光を電子信号に変換するために、帯電結合デバイス(CCD)センサまたは相補型金属酸化膜半導体(CMOS)センサで作製されてもよい。レーザセンサ2210は、エッジ結合リングおよびウエハなどの物体上の線を横切る高さデータを測定することができる。典型的には、高低差および反りなどの測定のために、複数のセンサまたはセンサの移動が必要である。代わりに、レーザセンサ2210は、2Dレーザを用いてこれらの測定を実施することができる。鋭い線ビームは、2Dレンズで短波長レーザを集束させることによって、光受信要素上に形成される。レーザの受信光密度を増加させ、より高いレベルの受信光強度を確保することができる。これにより、エッジ結合リングおよびウエハを含むすべてのタイプの物体で安定した正確な測定値が達成される。
レーザセンサ2210は、測定の障害物として作用する光ビームの多重反射を区別して打ち消す二重偏光機能を用いることができる。この機能は、エッジ結合リングおよびウエハなどの複雑な形状および複雑な領域を有する材料の測定において特に有益である。
図10Cは、白色光干渉法を使用する物体の領域にわたる3D高さ測定に適したレーザセンサ2220を示す。レーザセンサ2220の光源から放出された光は、ビームスプリッタによって2つに分割される。一方のビームはターゲットで反射し(入射ビームと反射ビームの両方が2222で示されている)、他方はレーザセンサ2220の基準ミラーで反射する。両方のビームは、次に、干渉光波としてレーザセンサ2220の光受信要素に当たる。これらの干渉光波は、それらの光路の長さが一致するときに最高レベルの干渉を提供する。レーザセンサ2220のこれらの構成要素を含む光学ユニットは、対照的な画像を得るために上下に移動する。これらの画像の各測定点について、レーザセンサ2220は、最高レベルの干渉における光学ユニットの位置を読み取る。この情報は、ターゲットまでの距離を決定するために使用される。したがって、レーザセンサ2220は、正確にエッジ結合リングおよびウエハなどの物体の3D形状をキャプチャする。
レーザセンサ2220は、白色光干渉法を使用して、ターゲット材料および色の違い、ならびに典型的には測定することができない不感帯のある領域など、プロファイル測定の精度を妨げる問題を克服する。レーザセンサ2220は、広い動的周波数範囲で光を放出し、これにより、単一のキャプチャされた画像から異なる反射率を有するターゲットを同時に測定することが可能である。プロセスは内部反射の影響を受けないので、半透明の材料で作製されたターゲットについて正確な形状をキャプチャすることができる。従来の三角測量法では、センサに戻る光を遮断し、測定することができない不感帯を引き起こす可能性がある。レーザセンサ2220は、上で説明した技法を使用してこの制限を回避する。
一般に、レーザセンサ2200は、エッジ結合リング2314の厚さ、形状、輪郭、平坦度、および表面粗さ分析およびウエハの厚さなどの全体的な形状測定値を決定するために処理することができるデータを感知および提供することができる。例えば、エッジ結合リング2314の上面および側面の測定値を組み合わせることによって、処理後にレーザセンサ2200によって感知されたデータは、エッジ結合リング2314のアンダーカットおよび全体の厚さの測定値を提供することができる。同様の技法は、ウエハで使用することもできる。
レーザセンサの発光要素は、半導体レーザを使用することができる。レーザ光は、発光レンズを通して集束され、物体に投影される。その時点で、物体から反射された光ビームの一部は、位置感知デバイス(PSD)上に光スポットを発生させる。
受信要素のいくつかは、PSDではなく、線形画像センサを使用する。PSDは、光スポット全体の光の量に基づいて、中心位置に関する情報のみを取得することができる。他方、線形画像センサを備えた発光要素は、各セルによって受信された光の量を検出する。したがって、物体の表面からの影響によりスポット内の光量に変動がある場合であっても、光強度のピーク位置を使用して正確な検出を実施することができる。これにより、物体の表面の変動の影響による誤差が大幅に低減される。
以下は、レーザセンサ2200の様々な機能の概要である。レーザセンサ2200は、エッジ結合リング2314およびウエハの様々なパラメータを以下のように測定することができる。エッジ結合リング2314およびウエハなどのターゲットの厚さおよび/または幅は、多くの方法で測定することができる。2つのセンサを備えたシステムが一般的であるが、1つのセンサを用いた測定が可能である。共焦点反射法では、厚さ測定は、ターゲットを挟むために2つのセンサを使用して実施される。透明なターゲットを使用すると、厚さは、いずれかの側の単一のセンサで直接測定することができる。三角測量反射法では、厚さ測定は、ターゲットを挟むために2つのセンサを使用して実施される。透明なターゲットを使用すると、厚さは、片側のみからの曝露によって直接測定することができる。鏡面反射干渉法では、2つのセンサを備えたシステムが一般的であるが、片側の位置が事前に決定されているか、またはターゲットが透明である場合、1つのセンサでの測定が可能である。厚さ測定は、基準面に対するステップ高さとしてターゲットの高さを測定することによっても実施することができる。
高さは、物体の上面の位置を検出することによっても測定することができる。底面が固定されていない物体の場合、形状は、2つのセンサを使用することによって、または水平線にレーザを投影することによって直接測定される。ライトカット法では、物体の上面の位置が検出される。非接触2Dプロファイル測定を実施する能力は、ターゲット上の基準面に関してステップ測定を実施することを可能にする。共焦点反射法では、物体の上面の位置が検出される。したがって、ターゲットが移動しても安定した測定が可能である。光学スルービーム法では、ターゲットの形状の影をキャプチャすることによって、センサは任意の位置を検出することができる。複数の点の同時測定もまた、可能である。
反りまたは平坦度は、一般に、エッジ結合リング2314などのターゲットを3点で検出することによって測定される。測定は、点型センサの下でターゲットを移動させてスキャンすることによって、または水平線にレーザを投影することによって形状を直接測定することによっても可能である。ライトカット法では、ターゲットを線レーザに曝露することによって形状が直接測定される。次に、反りまたは平坦度は、測定された波形データを使用して測定される。また、スキャン中にターゲットを移動させることによって、3D形状測定も可能である。共焦点反射法では、超小型で軽量のセンサを使用することにより、複数のヘッドを並列に設置することが可能になる。ターゲット上の複数の場所で高さデータをキャプチャすることによって、反りまたは平坦度を計算することができる。三角測量反射法では、センサを使用してターゲットをスキャンした後、任意の3点の高さデータを使用して反りまたは平坦度が測定される。
レーザセンサ2200は、単一点光学センサでターゲットの上をスキャンするか、またはレーザ線を使用して表面の2Dプロファイルまたは3D高さプロファイラを生成することによって、ターゲットの形状を測定することができる。共焦点反射法では、ターゲットをスキャンするためにスポットレーザを使用してプロファイル測定を実施することができる。ターゲットは、材料または色に関係なく測定することができる。ライトカット法では、ターゲットの断面の形状は、線レーザを使用して即座に測定される。レーザセンサは、エッジ結合リングおよびウエハなどの物体の高さ、幅、および断面積を検出することができる。
レーザセンサ2200はまた、ターゲットをスキャンして2D形状を測定することによって、または2D線レーザを使用して物体の形状を直接測定することによって、ターゲットの形状を測定することができる。ライトカット法では、線レーザを使用して、断面の外観を連続的にスキャンする。波形データを組み合わせて、三次元の外観を作成する。3D干渉法では、複数の(数百または数千の)点の高さデータが、測定領域について即座に取得される(例えば、図10C参照)。白色光干渉原理を利用することにより、材料のタイプまたは色の影響を受けず、死角のないミクロンレベルの高精度測定が可能になる。3Dパターン投影では、複数のストライプパターンを2方向からのパターンで高速に投影することができる。ターゲットから反射された光は、リアルタイムで分析され、エッジ結合リングおよびウエハなどの物体の3D形状画像を作成する。
図11は、ツール2404(例えば、図1~図6Cに示す任意のツール)に設置された1つまたは複数のレーザセンサ2402によってキャプチャされたデータを処理する測定システム2400を示す。例えば1つのツールのみが示されているが、測定システム2400は、ツール2404と同様の複数のツールを備える。図10A~図10Cに示すレーザセンサ2200のいずれかであり得るレーザセンサ2402は、上記の図9A~図9Gを参照して説明したように、エッジ結合リング2406(例えば、エッジ結合リング2314)およびウエハからのデータを感知する。
データプロセッサ2408は、レーザセンサ2402によってキャプチャされたデータを処理する。例えば、データプロセッサ2408は、データをクリーンアップおよび/またはフォーマットすることができる。例えば、データプロセッサ2408は、ノイズフィルタリング、特徴抽出などの動作を実施することができる。データプロセッサ2408は、レーザセンサ2402上に位置し得るか、またはレーザセンサ2402の外部に(例えば、PCB上に)あり得る。
システムコンピュータ2410は、ツール2404を制御する。一実施態様では、レーザセンサ2402からの生データは、処理および/または分析のために遠隔データセンタ2412に送られ得る。あるいは、別の実施態様では、生データは、レーザセンサ2402上またはレーザセンサ2402の外側のPCB上に位置し得るデータプロセッサ2408によって処理され得、分析されたデータの一部のみがシステムコンピュータ2410および/または遠隔データセンタ2412に送られ得る。システムコンピュータ2410は、図8B~図8Dに示す分散通信システム2010を介して、リアルタイムでまたはバッチで生データを遠隔データセンタ2412に送ることができる。加えて、システムコンピュータ2410はまた、ツール2404内の他のセンサによって、およびツール2404のPM内のセンサによって収集されたデータを、図12以降を参照して以下に説明するように、モデルを訓練するために遠隔データセンタ2412に送ることができる。
例えば、遠隔データセンタ2412は、図8B~図8Dに示す複数のサーバ2030を備え得る。遠隔データセンタ2412では、機械学習を使用して、ツール2404などの複数のツールから収集されたデータに基づいていくつかのモデルが訓練される。モデルの訓練および機能を、以下に詳細に説明する。遠隔データセンタ2412から受信した訓練されたモデルを使用して、システムコンピュータ2410は、以下に説明するように、使用(製造)中にレーザセンサ2402および他のセンサによって収集された新しいデータに基づいてツール2404を動作させる。
簡単に言えば、システムコンピュータ2410は、ツール2404上で訓練されたモデルによって推奨される様々な動作を実施する。例えば、動作は、エッジ結合リングおよびウエハの幾何学的パラメータ(例えば、厚さ、幅、OD/ID、反り/平坦度、2D/3D形状、表面粗さなど)の測定、および測定に基づいて、PMにおけるプロセスのパラメータの自動調整(最適化)、PM整合化、予防メンテナンス(例えば、PM自動洗浄)の推奨、部品/サブアセンブリの修理/交換などのガイド付きトラブルシューティング動作などの実施を含む。
例えば、システムコンピュータ2410は、エッジ結合リングのパラメータを測定し、PMにおける有効なエッチング速度を決定し(かつウエハのパラメータを測定し、エッチング/堆積速度を決定することができる)、経時的なドリフトを監視し、このデータを使用してPM内のプロセスを自律的に最適化し、PM整合化を達成し、そのようなドリフトを補償する予防/是正動作を実施することができる。例えば、システムコンピュータ2410は、リフトピンを調整することによって、チャックの上のエッジ結合リングの高さを微調節することができる。例えば、システムコンピュータ2410は、ウェット洗浄、ウエハレス自動洗浄(WAC)、カバーウエハ領域洗浄(CWAC)手順を使用することができる最適な期間を決定することができる。
さらに、システムコンピュータ2410は、訓練されたモデルによって推奨される様々な適応を実施することができる。システムコンピュータ2410は、入ってくる/出て行くエッジ結合リングの厚さの減少に基づいて、およびウエハの厚さの変化に基づいて、PMで使用されるレシピを自動的に調整することができる。システムコンピュータ2410は、検出された内径の変化(すなわち、エッジ侵食プロファイルの変化)または入ってくる/出て行くエッジ結合リングの厚さに基づいて、PMにおける有効なエッチング速度を決定することができる。システムコンピュータ2410は、前処理された/後処理されたウエハの厚さの検出された変化に基づいて、PMにおける有効なエッチング速度または堆積速度を決定することができる。システムコンピュータ2410は、PM整合化および自律プロセス制御および最適化のためのレシピを自動的に調整することができる。次に、閉ループ方式でレーザセンサ2402によってキャプチャされたデータに基づいて測定システム2400によって実施されるこれらの機能および他の機能について、詳細に説明する。
測定システム2400は、PM整合化を支援することができる。PMは、特定の数のウエハが処理された後、定期的に洗浄される。しかし、異なるウエハタイプは、PMにガス放出される異なる材料を有する可能性がある。加えて、PM内のウエハ上で実施される異なるプロセスは、洗浄する必要がある異なる副産物をPM内に残す可能性がある。すべての入ってくるウエハタイプに対して同じ洗浄レシピを使用すると、次のウエハを処理するためにチャンバを適切に洗浄することができない場合がある。測定システム2400は、PM構成、PMで実施された洗浄プロセス、およびそれがプロセス結果にどのように影響を及ぼしたかに関する過去のデータを活用し、データから学習し、PMを自動洗浄するための適切なレシピを選択する際にPMを支援することができ、それによりPMが次の入ってくるウエハを処理する状態にあるようにする。
測定システム2400は、異なるツールで有効なエッチングおよび堆積速度を測定し、経時的なドリフトを監視し、メンテナンス(例えば、ウェット洗浄、CWAC)後の変化を記録し、PMにおけるエッチングおよび堆積プロセスのパラメータ(例えば、レシピ、ESC温度、ガス流、持続時間など)を自動的に調整してそのような変化を是正する手順を作成することができる。測定システム2400は、PMに入る新品のエッジ結合リングと、同じエッジ結合リングとの間の厚さ変化(および/または前処理/後処理されたウエハの厚さ変化)を測定し、その寿命の終わりにまたはその間の任意の時点でPMを残すことができ、自律プロセス最適化およびPM間整合化のためにPMのプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に調整することができる。レーザセンサ2402は、使用されたエッジ結合リングの厚さの減少または処理されたウエハの厚さの変化を正確に測定する。エッジ結合リングの使用履歴(例えば、エッジ結合リングがPMで使用されたRF時間数、プロセスレシピ、チャンバ構成)およびその厚さの減少を知ることにより、PMの有効な侵食速度を決定することができる。同様の技法を、ウエハのエッチングおよび堆積処理に適用することもできる。したがって、測定システム2400は、インバウンド/アウトバウンド(新品/使用済み)エッジ結合リングおよびウエハの測定を自動化することができ、プロセス最適化およびPM間整合化を自動化することができる。
測定システム2400はエアロックなどのツールの領域内のエッジ結合リングおよびウエハからデータをキャプチャするだけでなく、測定システム2400は、ツール2404に位置する多くの他のシステム(例えば、センサ、PMに関連するカメラなど)によって収集された他のデータと連動して動作することができる。測定システム2400が信頼できるためには、レーザセンサ2402の向きおよびレベリングなどの多くの要因が比較的一定である必要がある。避けられない場合があり得るこれらの要因の変動を可能にするために、測定システム2400によって収集されたデータは、これらの他のシステムによって収集されたデータを使用して正規化され、これらの要因の不一致の影響を最小化または排除し得る。レーザセンサのその場較正は、既知の高さを有する物体に対して測定が実施され、補正曲線が作成され、通常の動作中の測定に適用される場合に実施することができる。
レーザセンサ2402の場所は変えることができ、エアロックに制限される必要はない。例えば、専用ステーションをVTMまたはEFEMに設計し、以下に説明する測定を行うことができる。レーザセンサ2402は、ツール2404内の他の場所に配置することができる。さらに、レーザセンサ2402は、ツールのフリート全体のツールに配置することができる。これにより、キャプチャされたデータのデータベースを拡大することができ、キャプチャされたデータに基づいて遠隔データセンタ2412で構築されたモデルの訓練を改善し、モデルをより堅牢にすることができる。堅牢なモデルは、統計的信頼性を高めて測定システム2400の機能を実施することができ、これによりPM、ツール、およびツールのフリートの全体的な性能を改善することができる。
ツール2404は、測定システム2400によって蓄積されたデータに基づいて最適化することができる。例えば、ツール2404内のPMの洗浄サイクルの数を最適化することができる。例えば、ツール2404内のPMの洗浄は、ツール2404内の別の機構によって推奨されているが、レーザセンサ2402によってキャプチャされたデータに基づいて延期または遅延される場合がある。特に、データは即座に利用可能であり、測定システム2400によってリアルタイムまたはほぼリアルタイムで分析される。したがって、ツール2404の最適化は、オンザフライで実施することができる。測定システム2400を使用して、モデルを遠隔データセンタ2412で訓練してレーザセンサ2402によってキャプチャされたデータを入力として受信し、洗浄をPMでいつ実施することができるかを制御する出力を提供することができる。この手順は、閉ループ方式でWACまたはCWACを介してPM洗浄を完全に自動化することが理解され得る。
測定システム2400は、エッジ結合リングおよびウエハを以下のように分析することができる。エッジ結合リングは、典型的には、EFEMからエアロック、PMに移送され、そこでESCの周りに載置される。エッジ結合リングは、半径方向にわたって特定の厚さ、内径、および厚さプロファイルを有する。エッジ結合リングのこれらおよび他のパラメータは、エッジ結合リングがPMに移送される前に、エッジ結合リングからデータをキャプチャすることによって観察される。PMでは、エッジ結合リングは、ウエハ上で実施されるプロセスに曝露される。結果として、エッジ結合リングの1つまたは複数のパラメータが変化する可能性がある。エッジ結合リングは、特定の数のRF時間にわたって使用するように設計されている。同様のエッジ結合リングは、同様の期間(すなわち、RF時間数)の間、同様のプロセスを実施するPMで使用することができる。
その後、エッジ結合リングが完全に使用されると(または、寿命が尽きるのを待たずに毎日)、ロボットを介してツールからロードロックに移送される。これらのPMから出て行くエッジ結合リングからのデータがキャプチャされ、幾何学的パラメータが測定され、測定システム2400によって相関される。例えば、1つのエッジ結合リングは、他のものよりも多くの変化を示し得る。一方のエッジ結合リングの変化は、任意選択で他のデータ(例えば、ツール2404内の他のセンサからの)と共に分析され、プロセスを自律的に最適化し、PM整合化を達成し、任意選択で、変化の根本原因を識別するか、またはPMの動作条件を制御して最適なオンウエハプロセス品質を達成する。
例えば、PMの1つが異なる動作をしている(すなわち、エッジ結合リングに異なる効果をもたらしている)が、同じプロセスが他のPMと同じ数のRF時間にわたってそのPMで実施されていることを示す変化の分析に基づいて、そのPMで実施されるプロセスのレシピは、測定システム2400によって訓練されたモデルを使用して調整され得る。あるいは、そのPMにおけるエッジ結合リングの位置決め(高さ、傾斜角など)は、測定システム2400によって訓練されたモデルを使用して調整され得る。同様の手順をウエハで使用して、自律プロセス最適化およびPM整合化を達成するために、エッチング/堆積の前後の厚さの変化を測定することができる。
測定システム2400を使用して、これらの異なる機能を実施するように異なるモデルを訓練することができる。十分に訓練されると、これらのモデルは、入力としてエッジ結合リングおよびウエハ、ならびにすべての他のオンツールセンサからデータを受信することができ、レシピ、エッジ結合リングのリフトピンの高さ、チャックの空間依存温度プロファイルなどに対して行われる調整などの出力を提供することができる。使用(すなわち、製造)中に取得されたデータに基づく継続的な訓練は、これらのモデルの出力を改良する(すなわち、精度を改善する)ことができる。そのようなシステムは、上述のようにエッジ結合リングおよびウエハの幾何学的パラメータを測定することによって、自律プロセス最適化およびPM整合化のためのツールの自律制御を可能にすることが理解され得る。
要約すると、いくつかのエッジ結合リングは、最適化されたオンウエハプロセス結果を達成するために、エッチングPM内のプラズマ場のより良好な制御を可能にする。これらのエッジ結合リングは、移送モジュール(TM)を介してEFEMからPMに自動的に移送することができ、これにより、PMを長時間(例えば、最大1年)開く必要なしに連続的な製造を達成することが可能になる。しかし、ツール内または異なるツール全体でのPMの状態の違いのために、ウエハ上の結果は常に同様であるとは限らない。
PM間整合化を達成し、プロセス結果を最適化するために、測定システム2400は、異なるツールにわたる有効なエッチングまたは堆積速度を測定し、経時的なドリフトを監視し、メンテナンス後の変化を記録し、PMのエッチングおよび堆積プロセスパラメータ(例えば、レシピ、ESC温度、ガス流、持続時間、バイアス電圧、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に調整してそのような変化を補償する手順を作成する技法を提供する。測定システム2400は、インバウンド/アウトバウンド(新品/使用済み)エッジ結合リングパラメータおよび前処理/後処理されたウエハパラメータの自動測定、ならびに自律プロセス最適化およびPM間整合化のための対応するシステムを可能にする。
一般に、測定システム2400を使用して監視(観察)することができるツールのいくつかの制御パラメータ。これらのパラメータについて観察されたデータに基づいて、測定システム2400は、ツール2404で使用される自動プロセス制御ループが適切に動作しているかどうかを確認するためにモデルを訓練することができる。測定システム2400は、訓練されたモデルを使用して、PM整合化のためのプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に調整することができる。
フローチャートおよびモデル
最初に、図12および図13は、図11に示すサーバ2030およびシステムコンピュータ2410によって実施される様々な方法の広く一般的な例を示す。続いて、図14A~図14Iは、図11に示すサーバ2030およびシステムコンピュータ2410によって実施される様々な方法の具体的かつ詳細な例を示す。
最初に、図12は、様々なツールから測定システム2400によってキャプチャされたデータに基づいて、知覚、センサ融合、および機械学習アルゴリズムを使用して様々なモデルを訓練するためにサーバ2030によって実施される方法を広く一般的に示す。その後、図13は、リアルタイムで(すなわち、オンザフライで、または製造中にウエハを処理するためにツールが使用されているときに)訓練されたモデルを使用してサーバ2030およびシステムコンピュータ2410によって実施される方法を広く一般的に示す。モデル生成および訓練の詳細は、図15A~図16Bを参照して説明される。
図12は、様々なツールからのエッジ結合リングおよびウエハからデータをキャプチャし、知覚、センサ融合、および機械学習アルゴリズムを使用して、キャプチャされたデータに基づいて様々なモデルを訓練するための方法2500を示す。2502において、方法2500は、ツール内のロードロックなどの場所でエッジ結合リングおよびウエハからデータをキャプチャする。2504において、方法2500は、キャプチャされたデータを処理する。例えば、キャプチャされたデータは、クリーンアップされ、フォーマットされ、キャプチャされたデータのパターンを示すシグネチャに変換され得る。例えば、方法2500は、ノイズフィルタリング、幾何学的変換、特徴抽出などの動作を実施することができる。さらに、エッジ結合リングの侵食プロファイルを決定し、ウエハの厚さ変化を決定し、PMにおける有効なエッチング/堆積速度を決定し、PMにおけるプロセスを自律的に最適化し、かつPM整合化のために使用することができる特徴およびシグネチャを認識してキャプチャされたデータから抽出することができ、特徴ベクトルを構築するために使用することができる。
2506において、方法2500は、知覚、センサ融合、および機械学習アルゴリズムを使用して、経時的にPM内のセンサおよびレーザセンサによって(すなわち、これらのデータをモデルへの入力として使用することによって)収集されたデータに基づいて、特定のタスクを実施するための様々なモデルを訓練する。例えば、タスクは、限定はしないが、エッジ結合リングの侵食プロファイルの決定、ウエハの厚さ変化の決定、PMの有効なエッチング/堆積速度の決定、PMレシピの調整(最適化)、WACレシピおよび頻度の決定などを含み得る。方法2500は、これらのタスクの性能と、収集されたデータに基づいて生成されたシグネチャおよび特徴ベクトルとの間の相関関係を確立し、データベースに記憶する。したがって、方法2500は、エッジ結合リングの侵食プロファイルを決定し、ウエハの厚さ変化を決定し、PMのエッチング/堆積速度を決定し、PMのプロセスを自律的に最適化し、シグネチャおよび特徴に基づいてPM整合化を行うためのモデルを訓練する。2508において、方法2500は、継続的に訓練されたモデルを使用して収集および処理されたデータに基づいて、これらのモデルを改良(すなわち、さらに訓練)し続ける。
図13は、様々なツールからのエッジ結合リングおよびウエハから製造中にデータをキャプチャし、訓練されたモデルを使用してエッジ結合リングの侵食プロファイルを決定し、ウエハの厚さ変化を決定し、PMにおける有効なエッチング/堆積速度を決定し、PMにおけるプロセスを自律的に最適化し、PM整合化などを行うための方法2600を示す。2602において、方法2600は、ロードロックなどの場所にあるエッジ結合リングおよびウエハから製造中にデータをキャプチャする。2604において、方法2600は、キャプチャされたデータを処理する。例えば、キャプチャされたデータは、クリーンアップされ、フォーマットされ、キャプチャされたデータのパターンを示すシグネチャに変換され得る。例えば、方法2600は、ノイズフィルタリング、幾何学的変換、特徴抽出などの動作を実施することができる。さらに、エッジ結合リングの侵食プロファイルを決定し、ウエハの厚さ変化を決定し、PMにおけるエッチング/堆積速度を決定し、PMにおけるプロセスを自律的に最適化し、かつPM整合化のために使用することができる特徴を認識し、キャプチャされたデータから抽出することができる。
2606において、様々な訓練されたモデルを使用して、方法2600は、収集されたデータに基づいて様々なタスクを実施する。例えば、方法2600は、PMから訓練されたモデルにシグネチャおよび特徴およびデータを送り、ツールが様々なタスクを自動的に実施するために使用する訓練されたモデルからコマンド/データを受信する。例えば、タスクは、限定はしないが、エッジ結合リングの侵食プロファイルの決定、ウエハの厚さ変化の決定、PMにおけるエッチング/堆積速度の決定、PMにおけるプロセスの自律最適化、PM整合化などを含み得る。2608において、方法2600は、製造中に訓練されたモデルを使用して収集および処理されたデータに基づいて、これらの訓練されたモデルを更新する(すなわち、さらに訓練する)。
追加のモデル
図14A~図14Iは、ほぼリアルタイムで(すなわち、オンザフライで、またはツールが製造中にウエハを処理するために使用されているときに)レーザセンサ2402によってキャプチャされたデータに基づいて訓練されたモデルを使用して、サーバ2030およびシステムコンピュータ2410によって実施される方法の様々な追加の例を示す。これらの方法で使用されるモデルは、測定システム2400を使用して訓練することができ、以下に説明する動作を実施するために使用することができる。これらは、非限定的な例である。追加のユースケースが企図されている。
これらの例では、知覚、センサ融合、および訓練されたモデルの継続的な更新を含む機械学習アルゴリズムを使用して説明された機能を実施するためにPMからのデータおよびレーザセンサ2402によってキャプチャされたデータを使用するモデルの訓練は、図12を参照して上述したモデルの訓練と同様である。したがって、以下の方法で使用されるモデルの訓練は推定され、簡潔にするために説明されていない。使用中、図13を参照して説明した訓練されたモデルと同様に、これらの訓練されたモデルは、入力としてレーザセンサ2402からデータを受信し、訓練されたモデルは、コマンド/データを、プロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)の自律調整、PM整合化の実施、部品またはサブアセンブリの修理/交換の支援などの動作を自動的に実施するためのツールに出力する。
図14Aは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、プロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を最適化し、ツールのフリート全体でPM構成を制御するための方法2700を示す。2702において、方法2700は、ツールのフリート全体のPMにおけるウエハおよびエッジ結合リングの厚さ変化に関するデータをキャプチャして処理する。2704において、厚さ変化データに基づいて、方法2700は、訓練されたモデルを使用して、ツールのフリート全体のPMにおけるエッチング/堆積プロセス特性(プロセス速度、プロセス均一性など)の変動を決定する。2706において、プロセス特性の変動に基づいて、方法2700は、プロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に最適化し、フリート全体のPMにおけるPM構成を制御するために、訓練されたモデルからデータを受信する。したがって、方法2700は、プロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)を自動的に最適化し、閉ループ方式でフリート全体のPMにおけるPM構成を制御する。
図14Bは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、エッジ結合リングの平坦度およびウエハ厚さ変化を測定し、測定されたエッジ結合リングの平坦度およびウエハ厚さ変化に基づいてPM整合化を実施するための方法2710を示す。開始時において、ウエハ/リングは、エアロック内に位置していると推定される。リングは新品である場合があり、初めてPMに送られ、以下のステップで説明されるように測定される。あるいは、リングは一定期間使用することができ、以下のステップに従ってさらに使用するためにPMに送られる。ウエハは未処理である場合があり、処理のためにPMに送られ、以下のステップで説明されるように測定される。あるいは、ウエハは部分的に処理されている場合があり、以下のステップに続くさらなる処理のためにPMに送られる。
2712において、方法2710は、エッジ結合リング/ウエハがエアロックからPMに輸送されるかどうかを決定する。2714において、エッジ結合リング/ウエハがエアロックからPMに輸送される場合、方法2710は、エッジ結合リング/ウエハが前処理された状態でPMに輸送される前に、レーザセンサを使用してエッジ結合リング/ウエハからデータを収集する。2718において、方法2710は、レーザセンサによって収集されたデータに基づいて、エッジ結合リング/ウエハの幾何学的パラメータ(例えば、平坦度、厚さ、表面粗さなど)を決定する。2720において、方法2710は、エアロックからPMにエッジ結合リング/ウエハを輸送する。
2722において、方法2710は、エッジ結合リングを再度検査する時であるかどうかを決定する。例えば、リングの検査は、エッジ結合リングが所定の数のRF時間にわたって使用された後、または誤差事象によってトリガされた場合に、毎日実施され得る。例えば、ウエハの検査は、各処理(エッチング/堆積)の後に実施され得る。2724において、エッジ結合リング/ウエハを検査する時である場合、方法2710は、PMからエアロックにエッジ結合リング/ウエハを輸送する。2726において、方法2710は、レーザセンサを使用してエッジ結合リング/ウエハからデータを収集する。2730において、方法2710は、レーザセンサによって収集されたデータに基づいて、エッジ結合リング/ウエハの幾何学的パラメータ(例えば、平坦度、厚さなど)を決定する。
2732において、方法2710は、2718および2730で決定された幾何学的パラメータ値に基づいて、エッジ結合リング/ウエハの厚さ変化を決定する。2734において、方法2710は、厚さ変化を訓練されたモデルに送り、そこからPMのプロセス特性の決定が受信される。2736において、方法2710は、厚さ変化およびプロセス特性(侵食/堆積速度、均一性など)の決定に基づいて、PMの1つまたは複数のプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)および/またはPM構成(例えば、上部/下部電極ギャップ/位置合わせ/傾斜、ESCの上のリング高さ)を自動的に調整する。例えば、方法2710は、厚さ変化データに基づいて訓練されたモデルから受信されたパラメータの値に基づいて、閉ループ方式でこれらのパラメータを自動的に調整することができる。
図14Cは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、ツールのフリート全体のエッジ結合リングの幾何学的パラメータの前処理/後処理(例えば、厚さ、表面粗さ、およびIDエッジ形状/プロファイル)における変化を決定し、表面粗さおよびIDエッジ形状/プロファイルに基づいてPM動作を調整するための方法2740を示す。2742において、方法2740は、レーザセンサを使用して、フリート全体のエッジ結合リングからデータを収集する。2744において、方法2740は、訓練されたモデルを使用して、エッジ結合リングの幾何学的パラメータの前処理/後処理(例えば、厚さ、ID、表面粗さ)における変化を決定する。これは、点/スポット(1D)における、線を横切る(2D)、または領域(3D)にわたる厚さ測定であり得る。表面粗さは、3D測定からのみ計算することができ、2D測定を介して推定することができる。2748において、方法2740は、訓練されたモデルを使用して、エッジ結合リングのIDエッジ形状/プロファイルを決定する。2750において、方法2740は、訓練されたモデルを使用してPM動作を調節する。例えば、方法2740は、表面粗さおよびエッジ結合リングのIDエッジ形状/プロファイル、ならびに訓練されたモデルを使用する他のPMセンサからのデータに基づいて、PMにおける自動洗浄プロセス(WACまたはCWAC)を調節する。同様の方法を使用してウエハの形状およびプロファイルを決定することができ、これを使用してPM動作を調節することができる。
図14Dは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、IDエッジ形状/プロファイルまたはエッジ結合リングの上面傾斜プロファイルに基づくツールにおけるPM問題のガイド付きトラブルシューティングのための方法2760を示す。2762において、方法2760は、レーザセンサを使用して、フリート全体のエッジ結合リングからデータを収集する。2764において、方法2760は、訓練されたモデルを使用して、エッジ結合リングの幾何学的パラメータの前処理/後処理(例えば、厚さ、ID、表面粗さ)における変化を決定する。これは、点/スポット(1D)における、線を横切る(2D)、または領域(3D)にわたる厚さ測定であり得る。表面粗さは、3D測定からのみ計算することができ、2D測定を介して推定することができる。2766において、方法2760は、訓練されたモデルを使用して、エッジ結合リングのIDエッジ形状/プロファイルを決定する。IDエッジ形状/プロファイルは、データベース内のエッジ結合リングのシグネチャとして記憶することができる。シグネチャは、PMの部品および/またはサブアセンブリの性能および/または誤動作に相関している。したがって、特定のシグネチャは、PMにおける特定の性能ならびに/または誤動作している部品および/もしくはサブアセンブリを示すことができる。
2750において、方法2760は、訓練されたモデルに、レーザセンサを使用してエッジ結合リングからキャプチャされたデータに基づいて生成されたエッジ結合リングのシグネチャを送る。方法2760は、問題のある部品またはサブアセンブリの保守/交換に関する命令と共に、PMの問題のある部品またはサブアセンブリを識別する指示を受信する。追加的または代替的に、いくつかの状況では、方法2760は、閉ループ方式でPMの動作パラメータを自動的に調整する訓練されたモデルからデータを受信する。例えば、訓練されたモデルから受信したデータを使用して、エッジ結合リングの高さを調整するか、ESCの温度プロファイルを調整するか、またはプロセスガスの流量を変更することなどが可能である。これらのオプションのすべてがすでに行われている場合、データは、PMの構成要素を修理または交換する最終的なオプションを示し得る。したがって、方法2760は、修正措置を自動的に実施し、階層的な方式でPMに関するガイド付きトラブルシューティング問題を支援することができる。同様の手順を用いて、厚さ変化に基づくウエハプロファイル測定を使用してそれに基づくPM問題をトラブルシューティングすることができ、説明は簡潔にするために省略されている。
図14Eは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、エッジ結合リング/ウエハからレーザセンサによって収集されたデータに基づいてPM洗浄プロセスを調整するための方法2770を示す。2772において、方法2770は、レーザセンサを使用して、フリート全体のエッジ結合リング/ウエハからデータを収集する。2774において、方法2770は、閉ループ方式で訓練されたモデルを使用してエッジ結合リング/ウエハから収集されたデータに基づいて、レシピタイプおよびPMを洗浄する頻度を自動的に選択する。2776において、方法2770は、閉ループ方式で訓練されたモデルを使用して、PM動作のためのパラメータの値を自動的に選択する。
図14Fは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、エッジ結合リング/ウエハからレーザセンサによって収集されたデータに基づいてPM構成(例えば、自動洗浄レシピ、上部/下部電極ギャップおよび位置合わせ/傾斜、ESCの上のエッジリング高さなど)を調整するための方法2780を示す。2782において、方法2780は、レーザセンサを使用してエッジ結合リング/ウエハからデータを収集する。2784において、方法2780は、収集されたデータ(例えば、リングの状態、ウエハ厚さ減少のドリフト(エッチング速度)、またはウエハ厚さ変化の均一性など)に基づいてPM性能を決定する。例えば、以前の洗浄手順の後に収集されたセンサーデータは、エッジ結合リング/ウエハのシグネチャとしてデータベースに保存される。したがって、シグネチャとPMで実施される洗浄手順の品質との間の相関関係をデータベースに記憶することができる。現在収集されたデータは、訓練されたモデルに入力されるシグネチャ(特徴ベクトル)に変換される。訓練されたモデルは、入力シグネチャをデータベースに記憶されたシグネチャと比較する。
2786において、比較に基づいて、訓練されたモデルは、PM性能に関する評価を返す。2788において、比較および/または評価に基づいて、訓練されたモデルは、PM構成を微調節するためのパラメータも提供する(例えば、自動洗浄レシピ、上部/下部電極ギャップおよび位置合わせ/傾斜、ESCの上のエッジリング高さなど)。したがって、方法2780は、閉ループ方式でPM構成を自動的に微調節する。続いて実施されると、方法2780は、微調節が実際にPM性能を改善したかどうかを検証する。検証の結果は、訓練されたモデルを継続的に改良するためのフィードバックとして使用される。
図14Gは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、PMにおけるエッチング速度変動を決定し、PMで使用されるエッジ結合リングからレーザセンサによって収集されたデータに基づいてPMにおける動作パラメータを調整するための方法2790を示す。2792において、方法2790は、PMからエアロックにエッジ結合リングを輸送し、レーザセンサを使用してエッジ結合リング上の場所Aからデータを収集する。2794において、方法2790は、訓練されたモデルを使用して、場所Aでのエッジ結合リングの厚さを決定する。2796において、方法2790は、エッジ結合リングを回転させるか、またはレーザセンサの下でロボットを介してエッジ結合リングをスキャンする。2798において、方法2790は、レーザセンサを使用して、エッジ結合リング上の場所Bからデータを収集する。2800において、方法2790は、場所Bでのエッジ結合リングの厚さを決定する。
2802において、方法2790は、場所AおよびBでの厚さに基づいて、有効なエッチング速度の変動を決定する。例えば、方法2790は、訓練されたモデルへの入力として場所AおよびBでの厚さを送り、訓練されたモデルは、PMにおけるエッチング速度の不均一性を定量化する。加えて、訓練されたモデルは、調節されるPMの1つまたは複数の動作パラメータの出力値として戻る。2804において、方法2790は、閉ループ方式で測定されたエッチング速度の不均一性に基づいて、1つまたは複数のプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)および/またはPMの動作パラメータを自動的に調整する。同様の方法をエッチングおよび堆積処理のためのウエハで使用することができ、エッチング/堆積速度および均一性の変動は、ウエハの厚さ変化、および1つまたは複数のプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)に基づいて確認され、ならびに/またはPMの動作パラメータは、閉ループ方式でのエッチング/堆積速度の変動に基づいて自動的に調整される。
図14Hは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、PMで使用されるエッジ結合リング/ウエハからレーザセンサによって収集されたデータに基づいて、PMにおける問題をガイド付きトラブルシューティングおよび是正するための方法2810を示す。2812において、方法2810は、PMからエアロックにエッジ結合リング/ウエハを輸送し、レーザセンサを使用してエッジ結合リング/ウエハからデータを収集する。2814において、方法2810は、収集されたデータを訓練されたモデルに送る。例えば、方法2810は、収集されたデータをシグネチャ(特徴ベクトル)に変換し、入力としてシグネチャを訓練されたモデルに送る。訓練されたモデルは、シグネチャを、適切に動作している、ならびに誤動作しているPMの部品およびサブアセンブリ、ならびに予防/是正措置と相関する様々なシグネチャと比較することによって、1つまたは複数の複数の出力を返す。例えば、1つの出力は、ガス流量用、別の出力は、ESC温度分布用、別の出力は、PM圧力用などであり得る。例えば、出力は、PMで自動的に実施される予防/是正措置のためのコマンド、またはPMもしくはツールで実施される部品またはサブアセンブリの修理もしくは交換を示す指示を含み得る。2816において、方法2810は、訓練されたモデルからデータを受信する。2818において、方法2810は、出力によって示される動作を自動的に実施し、閉ループ方式でPM構成および/もしくはプロセスパラメータを調整するか、または修理もしくは交換を実施するように促す。
図14Iは、エッジ結合リング/ウエハの重量を監視し、エッジ結合リング/ウエハの前処理/後処理の重量変化に基づいて、PMのプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)および/または動作パラメータを調整するための方法2820を示す。例えば、エッジ結合リング/ウエハの重量は、重量センサを使用して監視され、モデルへの入力としてエッジ結合リング/ウエハからレーザセンサによって収集されたデータと共に使用され得る。PMのプロセスパラメータ(例えば、エッチング/堆積速度、エッチング/堆積均一性など)および/または動作パラメータは、知覚、センサ融合、および機械学習アルゴリズムを使用して訓練されたモデルを使用して、観察された重量変化に基づいて調整することができる。
2822において、方法2820は、PMからエアロックにエッジ結合リング/ウエハを輸送し、重量センサまたはレーザセンサを使用してエッジ結合リング/ウエハからデータを収集する。例えば、重量センサが使用される場合、方法2820は、重量センサから直接エッジ結合リングの重量を得ることができる。したがって、2824において、方法2820は、エッジ結合リング/ウエハの重量を決定する。
2826において、方法2820は、エッジ結合リング/ウエハの重量が変化したかどうか、および変化した場合、その量を決定する。例えば、方法2820は、訓練されたモデルへの入力として、エッジ結合リング/ウエハの重量を示すデータを送る。訓練されたモデルは、エッジ結合リング/ウエハの重量の変化を決定し、比較に基づいて出力を返す。例えば、訓練されたモデルによって参照されるデータベースは、重量または重量変化と、重量または重量変化に基づいてPMで実施されるプロセスパラメータ調節または予防/是正措置との間の相関関係を記憶し得る。例えば、出力は、PMにおいて自動的に実施される予防/是正措置のためのコマンドを含み得る。例えば、予防/是正措置は、PMの動作パラメータを変更すること、および/またはPMで使用される洗浄プロセスを含むプロセスのパラメータを変更することを含んでもよい。2828において、エッジ結合リング/ウエハの重量が変化した場合、方法2820は、訓練されたモデルによって出力されたデータを受信し、方法2820は、閉ループ方式で出力によって示された動作を自動的に実施する。
いくつかの実施態様では、測定システム2400は、ツールから遠隔データセンタにレーザセンサによって収集された生データを送ることができ、データの処理およびモデルの訓練のすべてをデータセンタで実施することができる。さらに、ツールの使用中の訓練されたモデルによるデータ処理および推奨は、データセンタで実施され得る。そのような実施態様では、測定システム2400は、サービスとしてのソフトウェア(SaaS)としてデータセンタに展開され得る。
モデルの訓練および検証
図15Aおよび図15Bは、上記の図12A~図14Iを参照して説明されたものなどのモデルを生成、訓練、および検証するための方法の例を示す。これらの方法は、サーバ2030によって実行される1つまたは複数のアプリケーションによって実施され、これらは以下の方法の説明において制御と呼ばれる。
図15Aは、モデルを生成するための方法2900を示す。2902において、制御は、モデルを定義および構築するために、機械学習モデル(例えば、教師ありまたは教師なしの分類または回帰モデル、例えば、深層ニューラルネットワーク、テンソルフローなど)を選択する。機械学習モデルは、ニューラルネットワークである必要はない。使用することができる機械学習アルゴリズムのいくつかの例には、K最近傍法、サポートベクターマシン、K平均法クラスタリング、深層ニューラルネットワーク、線形または非線形回帰などが挙げられる。例えば、制御は、ニューラルネットワークに対するネットワークトポロジーを選択することによってモデルを定義することができる。
ニューラルネットワークの例が、図16Aに示されている。例えば、ネットワークトポロジーの選択は、入力の数、ニューロンの数、隠れ層の数、および出力の数を選択することを含む。図16Aおよび図16Bならびに以下の対応する説明は、さらに詳細にモデル生成を説明する。2904において、制御は、モデルを訓練する。図15Bおよび以下の対応する説明は、さらに詳細にモデル訓練を説明する。2906において、制御は、K分割交差検証、リーブワンアウト交差検証、再置換、ブートストラップ、ランダムサブサンプリングなどのよく知られた検証方法のいずれかを使用してモデルを検証する。2908において、制御は、閉ループ方式でモデルパラメータを繰り返し微調節する。
図15Bは、モデルを訓練するための方法2950を示す。2952において、制御は、訓練データ(例えば、数ヶ月にわたって収集されたレーザセンサからのデータ)に対してモデルを実行する。2954において、制御は、ラベル付けされた訓練データに対してモデルによって予測された出力をチェックする。2956において、制御は、モデルのパラメータおよび/またはネットワークトポロジーを調整し、モデルの予測と実際のデータとの間のより良好な一致を生成する(例えば、モデルの予測と訓練データとの間の誤差を最小化する)。2958において、制御は、モデルがいくつかの所定の訓練基準を満たすかどうかを決定する。モデルが所定の訓練基準を満たさない場合、制御は2952に戻る。モデルが所定の訓練基準を満たす場合、制御は終了する。
図16Aおよび図16Bは、機械学習技法を使用して、図12A~図14Iを参照して上述のようなモデルを生成するために使用されるニューラルネットワークの例を示す。機械学習は、予測(例えば、PMレシピパラメータの調節、サービスのスケジューリング、および上述の構成要素の交換のための予測)に役立つ複雑なモデルおよびアルゴリズムを考案するために使用される方法である。図12A~図14Iを参照して上述のような機械学習を使用して生成されたモデルは、信頼できる反復可能な決定および結果をもたらし、データにおける履歴関係および傾向から学習することによって隠された洞察を明らかにすることができる。
ニューラルネットワークベースのモデルを使用し、図12A~図15Bを参照して上述のような機械学習を使用してモデルを訓練する目的は、変数間の関係を数学的形式にキャストすることなく、従属変数を直接予測することである。ニューラルネットワークモデルは、並列に動作し、層状に配置された多数の仮想ニューロンを含む。第1の層は入力層であり、入力データを受信する。各連続する層は、前の層からの出力を修正し、それらを次の層に送る。最後の層は出力層であり、システムの出力を発生する。
図16Aは、所与の層の各ニューロンが次の層の各ニューロンに接続されている、完全に接続されたニューラルネットワークの例を示す。入力層では、各入力ノードは、任意の実数であり得る数値に関連付けられている。各層では、入力ノードから離れる各接続は、それに関連付けられた重みを有し、これは任意の実数であり得る(図16B参照)。入力層では、ニューロンの数は、データセット内の特徴の数に等しい。出力層は、複数の連続または離散出力を有することができる。
図16Aは、ニューラルネットワークの1つの非限定的な例のみを示していることに留意されたい。入力層と出力層との間の層は、隠れ層と呼ばれる。隠れ層の数は、1つまたは複数であり得る。例えば、深層ニューラルネットワークは通常、多くの隠れ層を有する。さらに、例えば1つの出力ニューロンのみが示されているが、多層深層ニューラルネットワークは、より多くの数の出力ニューロンを有し得る。
ニューロンの数は、最適化することができる。訓練の開始時、ネットワーク構成は、過剰なノードを有する可能性が高い。ネットワーク性能に目立って影響を及ぼさないノードのいくつかは、訓練中にネットワークから削除され得る。例えば、訓練後に重みがゼロに近づくノードを削除することができる(このプロセスは剪定と呼ばれる)。ニューロンおよび層の数は、アンダーフィッティング(データセット内の信号を適切にキャプチャすることができない)またはオーバーフィッティング(すべてのニューロンを訓練するには情報が不十分であり、ネットワークは訓練データセットではうまく機能するが、テストデータセットではうまく機能しない)を回避するために適切に選択されるべきである。
様々な方法および基準を使用して、モデルの性能を測定することができる。例えば、二乗平均平方根誤差(RMSE)は、観察値とモデル予測との間の平均距離を測定する。決定係数(R2)は、観察された結果と予測された結果との間の相関関係(精度ではない)を測定する。データに大きな分散がある場合、この方法は信頼できない可能性がある。他の性能測定は、既約ノイズ、モデルバイアス、およびモデル分散を含む。モデルに対する高いモデルバイアスは、モデルが予測因子と結果との間の真の関係を捉えることができないことを示す。モデル分散は、モデルが安定していないかどうかを示すことができる(データにおけるわずかな摂動は、モデルの適合を大幅に変更する)。
前述の説明は、本質的に単に例示的であり、本開示、その適用、または使用を決して限定する意図はない。本開示の広範な教示は、様々な形態で実施することができる。したがって、本開示は具体的な例を含むが、図面、明細書、および以下の特許請求の範囲を検討すると他の変更態様が明白となるので、本開示の真の範囲はそのような例に限定されるべきでない。方法における1つまたは複数のステップは、本開示の原理を変更することなく、異なる順序で(または同時に)実行してもよいことを理解されたい。
さらに、各実施形態は特定の特徴を有するものとして上に説明されているが、本開示のいずれかの実施形態に関して説明したこれらの特徴のいずれか1つまたは複数を、他の実施形態において実施すること、および/または、他の実施形態のいずれかの特徴と組み合わせることが(たとえそのような組み合わせが明示的に説明されていないとしても)可能である。言い換えれば、説明された実施形態は相互に排他的ではなく、1つまたは複数の実施形態を互いに入れ替えることは本開示の範囲に含まれる。
要素同士(例えば、モジュール同士、回路要素同士、半導体層同士など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接した」、「隣に」、「上に」、「上方に」、「下方に」、および「配置された」などの様々な用語を使用して説明される。また、上記開示において第1の要素と第2の要素との間の関係が説明されるとき、「直接」であると明示的に説明されない限り、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係の可能性があるが、第1の要素と第2の要素との間に1つまたは複数の介在要素が(空間的または機能的に)存在する間接的な関係の可能性もある。
本明細書で使用する場合、A、B、およびCの少なくとも1つという表現は、非排他的論理ORを使用した論理(AまたはBまたはC)の意味で解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」の意味で解釈されるべきではない。
いくつかの実施態様では、コントローラはシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。
そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。
プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実施するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。
いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。
したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。

Claims (20)

  1. 基板処理システムであって、
    前記基板処理システムに配置されたレーザセンサであって、前記レーザセンサは、
    それぞれ第1および第2の時間において、前記基板処理システムの処理チャンバで使用される構成要素から第1のデータおよび第2のデータをキャプチャする
    ように構成され、
    前記構成要素は、半導体基板および前記半導体基板と共に使用されるエッジ結合リングの少なくとも1つを含み、
    前記構成要素は、前記第1の時間と前記第2の時間との間に前記処理チャンバで実施されるプロセス中に前記処理チャンバで使用される
    レーザセンサと、
    コントローラであって、
    前記レーザセンサから前記第1のデータおよび前記第2のデータを受信し、
    前記第1のデータおよび前記第2のデータに基づいて、前記構成要素の幾何学的パラメータの変化を測定し、
    ネットワークを介して前記測定された変化を遠隔サーバに送信し、前記遠隔サーバは、前記測定された変化に基づいて、前記プロセスおよび前記処理チャンバの少なくとも1つのパラメータへの調整を推奨するように訓練されたモデルを含み、
    前記ネットワークを介して前記遠隔サーバから前記パラメータへの前記調整を受信し、
    前記プロセスおよび前記処理チャンバの前記少なくとも1つの前記パラメータへの前記調整を実施する
    ように構成されたコントローラと
    を備える、基板処理システム。
  2. 請求項1に記載の基板処理システムであって、
    前記第1のデータおよび前記第2のデータは、ある点で、線に沿って、または前記構成要素の領域を横切って、実施される測定を含む、基板処理システム。
  3. 請求項1に記載の基板処理システムであって、
    前記幾何学的パラメータは、前記構成要素の厚さ、平坦度、または表面粗さを含む、基板処理システム。
  4. 請求項1に記載の基板処理システムであって、
    前記コントローラは、前記測定された変化に基づいて、前記プロセスのエッチング速度および前記プロセスの堆積速度の少なくとも1つの不均一性を決定するように構成され、前記調整は、前記プロセスの前記エッチング速度および前記プロセスの前記堆積速度の前記少なくとも1つの前記不均一性を最小化する、基板処理システム。
  5. 請求項1に記載の基板処理システムであって、
    前記コントローラは、最初に前記プロセスの前記パラメータを調整し、次に前記処理チャンバの前記パラメータを調整するように構成される、基板処理システム。
  6. 請求項1に記載の基板処理システムであって、
    前記コントローラは、最初に前記処理チャンバの前記パラメータを調整し、次に前記プロセスの前記パラメータを調整するように構成される、基板処理システム。
  7. 請求項1に記載の基板処理システムであって、
    前記プロセスの前記パラメータは、ガス流、圧力、上部電極温度、基板支持体温度分布、バイアス電圧、エッチング/堆積速度、およびエッチング/堆積均一性からなる群から選択される、基板処理システム。
  8. 請求項1に記載の基板処理システムであって、
    前記処理チャンバの前記パラメータは、前記処理チャンバ内の基板支持アセンブリの上の前記エッジ結合リングの高さ、前記エッジ結合リングの傾斜、前記処理チャンバの上部電極と下部電極との間のギャップ、前記上部電極と前記下部電極との間の位置合わせ、および前記上部電極と前記下部電極との間の傾斜からなる群から選択される、基板処理システム。
  9. 請求項1に記載の基板処理システムであって、
    前記測定された変化は、前記処理チャンバを洗浄するために使用される前記プロセスの品質の変動を示し、前記プロセスの前記パラメータへの前記調整は、前記変動を最小化し、前記パラメータは、前記プロセスの期間および頻度の1つまたは複数を含む、基板処理システム。
  10. 請求項1に記載の基板処理システムであって、
    前記コントローラは、
    前記第1のデータおよび前記第2のデータに基づいて、前記エッジ結合リングの内径のプロファイルを決定し、前記プロファイルは、前記処理チャンバのアセンブリの誤動作を示しており、
    前記プロファイルを前記遠隔サーバに送信し、
    前記プロファイルに基づいて、前記遠隔サーバから前記アセンブリを保守するための指示を受信し、
    前記指示に基づいて、前記アセンブリを保守するためのメッセージを生成する
    ように構成される、基板処理システム。
  11. システムであって、
    プロセッサと、
    前記プロセッサによって実行されると、
    それぞれ第1および第2の時間において、基板処理システムの処理チャンバで使用される構成要素からレーザセンサによってキャプチャされた第1のデータおよび第2のデータを受信し、前記構成要素は、半導体基板および前記半導体基板と共に使用されるエッジ結合リングの少なくとも1つを含み、前記構成要素は、前記第1の時間と前記第2の時間との間に前記処理チャンバで実施されるプロセス中に前記処理チャンバで使用され、
    前記第1のデータおよび前記第2のデータに基づいて、前記構成要素の幾何学的パラメータの変化を測定し、
    前記測定された変化を、前記測定された変化に基づいて、前記プロセスおよび前記処理チャンバの少なくとも1つのパラメータへの調整を推奨するように訓練されたモデルに入力し、
    前記モデルから前記パラメータへの前記調整を受信し、
    前記プロセスおよび前記処理チャンバの前記少なくとも1つの前記パラメータへの前記調整を実施する
    ように前記プロセッサを構成する命令を含むメモリと
    を備える、システム。
  12. 請求項11に記載のシステムであって、
    前記第1のデータおよび前記第2のデータは、ある点で、線に沿って、または前記構成要素の領域を横切って実施される測定を含む、システム。
  13. 請求項11に記載のシステムであって、
    前記幾何学的パラメータは、前記構成要素の厚さ、平坦度、または表面粗さを含む、システム。
  14. 請求項11に記載のシステムであって、
    前記命令は、前記測定された変化に基づいて、前記プロセスのエッチング速度および前記プロセスの堆積速度の少なくとも1つの不均一性を決定するように前記プロセッサをさらに構成し、前記調整は、前記プロセスの前記エッチング速度および前記プロセスの前記堆積速度の前記少なくとも1つの前記不均一性を最小化する、システム。
  15. 請求項11に記載のシステムであって、
    前記命令は、最初に前記プロセスの前記パラメータを調整し、次に前記処理チャンバの前記パラメータを調整するように前記プロセッサをさらに構成する、システム。
  16. 請求項11に記載のシステムであって、
    前記命令は、最初に前記処理チャンバの前記パラメータを調整し、次に前記プロセスの前記パラメータを調整するように前記プロセッサをさらに構成する、システム。
  17. 請求項11に記載のシステムであって、
    前記命令は、ガス流、圧力、上部電極温度、基板支持体温度分布、バイアス電圧、エッチング/堆積速度、およびエッチング/堆積均一性からなる群から前記プロセスの前記パラメータを選択するように前記プロセッサをさらに構成する、システム。
  18. 請求項11に記載のシステムであって、
    前記命令は、前記処理チャンバ内の基板支持アセンブリの上の前記エッジ結合リングの高さ、前記エッジ結合リングの傾斜、前記処理チャンバの上部電極と下部電極との間のギャップ、前記上部電極と前記下部電極との間の位置合わせ、および前記上部電極と前記下部電極との間の傾斜からなる群から前記プロセスの前記パラメータを選択するように前記プロセッサをさらに構成する、システム。
  19. 請求項11に記載のシステムであって、
    前記測定された変化は、前記処理チャンバを洗浄するために使用される前記プロセスの品質の変動を示し、前記プロセスの前記パラメータへの前記調整は、前記変動を最小化し、前記パラメータは、前記プロセスの期間および頻度の1つまたは複数を含む、システム。
  20. 請求項11に記載のシステムであって、
    前記命令は、
    前記第1のデータおよび前記第2のデータに基づいて、前記エッジ結合リングの内径のプロファイルを決定し、前記プロファイルは、前記処理チャンバのアセンブリの誤動作を示しており、
    前記プロファイルを前記モデルに送信し、
    前記プロファイルに基づいて、前記モデルから前記アセンブリを保守するための指示を受信し、
    前記指示に基づいて、前記アセンブリを保守するためのメッセージを生成する
    ように前記プロセッサをさらに構成する、システム。
JP2022517747A 2019-09-25 2020-09-21 光干渉法および反射率測定法を使用した半導体機器の自律プロセス制御および最適化のためのシステムおよび方法 Pending JP2022549793A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962905738P 2019-09-25 2019-09-25
US62/905,738 2019-09-25
PCT/US2020/051726 WO2021061541A1 (en) 2019-09-25 2020-09-21 Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry

Publications (1)

Publication Number Publication Date
JP2022549793A true JP2022549793A (ja) 2022-11-29

Family

ID=75166358

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022517747A Pending JP2022549793A (ja) 2019-09-25 2020-09-21 光干渉法および反射率測定法を使用した半導体機器の自律プロセス制御および最適化のためのシステムおよび方法

Country Status (5)

Country Link
US (1) US20220344184A1 (ja)
JP (1) JP2022549793A (ja)
KR (1) KR20220066367A (ja)
TW (1) TW202126853A (ja)
WO (1) WO2021061541A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894250B2 (en) * 2020-03-31 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for recognizing and addressing plasma discharge during semiconductor processes
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US20230105279A1 (en) * 2021-10-05 2023-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process
US11586789B2 (en) * 2021-04-07 2023-02-21 Applied Materials, Inc. Machine learning based smart process recipe builder to improve azimuthal flow and thickness uniformity
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US20230077516A1 (en) * 2021-09-13 2023-03-16 Verizon Patent And Licensing Inc. Systems and methods for utilizing machine learning for vehicle detection of adverse conditions
US20240110782A1 (en) * 2022-09-29 2024-04-04 Applied Materials, Inc. Surface roughness and emissivity determination
WO2024072668A1 (en) * 2022-09-30 2024-04-04 Lam Research Corporation Dome shaped chamber for generating in-situ cleaning plasma

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020073A1 (en) * 2003-07-22 2005-01-27 Lam Research Corporation Method and system for electronic spatial filtering of spectral reflectometer optical signals
KR102239515B1 (ko) * 2014-11-03 2021-04-15 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술
KR102576702B1 (ko) * 2016-07-06 2023-09-08 삼성전자주식회사 증착 공정 모니터링 시스템, 및 그 시스템을 이용한 증착 공정 제어방법과 반도체 소자 제조방법
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment

Also Published As

Publication number Publication date
US20220344184A1 (en) 2022-10-27
WO2021061541A1 (en) 2021-04-01
KR20220066367A (ko) 2022-05-24
TW202126853A (zh) 2021-07-16

Similar Documents

Publication Publication Date Title
US20220344184A1 (en) Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
KR102546407B1 (ko) 반도체 제작 장비 내의 소모성 부품의 마모 검출
US20170263478A1 (en) Detection System for Tunable/Replaceable Edge Coupling Ring
EP3679598B1 (en) Systems and methods for combining optical metrology with mass metrology
US20220270901A1 (en) Integrated hardware-software computer vision system for autonomous control and inspection of substrate processing systems
US11353364B2 (en) Thermal imaging for within wafer variability feedforward or feedback information
KR102658105B1 (ko) 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
WO2021030833A1 (en) Model based control of wafer non-uniformity
KR20230129262A (ko) 자율 기판 프로세싱 시스템
US20230236569A1 (en) Estimation of chamber component conditions using substrate measurements
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
US20220334554A1 (en) Large spot spectral sensing to control spatial setpoints
US20220165593A1 (en) Feedforward control of multi-layer stacks during device fabrication
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
US20230295799A1 (en) Process characterization and correction using optical wall process sensor (owps)
US20230298872A1 (en) Process characterization and correction using optical wall process sensor (owps)
KR20180067764A (ko) 기판 처리 장치
CN117916871A (zh) 用于将传感器耦合至传输腔室机器人的方法和机构

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230920