TW202123353A - 用於基板處理系統的自動化控制及檢測之整合式硬體軟體電腦視覺系統 - Google Patents
用於基板處理系統的自動化控制及檢測之整合式硬體軟體電腦視覺系統 Download PDFInfo
- Publication number
- TW202123353A TW202123353A TW109125238A TW109125238A TW202123353A TW 202123353 A TW202123353 A TW 202123353A TW 109125238 A TW109125238 A TW 109125238A TW 109125238 A TW109125238 A TW 109125238A TW 202123353 A TW202123353 A TW 202123353A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- substrate processing
- processing system
- edge coupling
- coupling ring
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 499
- 238000012545 processing Methods 0.000 title claims abstract description 483
- 238000007689 inspection Methods 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 462
- 230000008569 process Effects 0.000 claims abstract description 198
- 230000004044 response Effects 0.000 claims abstract description 75
- 230000008878 coupling Effects 0.000 claims description 272
- 238000010168 coupling process Methods 0.000 claims description 272
- 238000005859 coupling reaction Methods 0.000 claims description 272
- 238000011068 loading method Methods 0.000 claims description 84
- 238000004140 cleaning Methods 0.000 claims description 43
- 238000005530 etching Methods 0.000 claims description 36
- 238000012423 maintenance Methods 0.000 claims description 36
- 230000008859 change Effects 0.000 claims description 28
- 230000007797 corrosion Effects 0.000 claims description 22
- 238000005260 corrosion Methods 0.000 claims description 22
- 230000032258 transport Effects 0.000 claims description 15
- 230000008439 repair process Effects 0.000 claims description 14
- 235000012431 wafers Nutrition 0.000 description 303
- 239000007789 gas Substances 0.000 description 102
- 238000012546 transfer Methods 0.000 description 74
- 238000001514 detection method Methods 0.000 description 61
- 239000012636 effector Substances 0.000 description 44
- 238000004422 calculation algorithm Methods 0.000 description 36
- 238000012549 training Methods 0.000 description 36
- 238000010801 machine learning Methods 0.000 description 34
- 238000004519 manufacturing process Methods 0.000 description 26
- 238000005259 measurement Methods 0.000 description 26
- 238000003708 edge detection Methods 0.000 description 24
- 238000001914 filtration Methods 0.000 description 23
- 238000003709 image segmentation Methods 0.000 description 23
- 230000009466 transformation Effects 0.000 description 23
- 238000009826 distribution Methods 0.000 description 20
- 230000004927 fusion Effects 0.000 description 20
- 230000036541 health Effects 0.000 description 20
- 230000008447 perception Effects 0.000 description 19
- 238000004891 communication Methods 0.000 description 18
- 238000011084 recovery Methods 0.000 description 18
- 230000000875 corresponding effect Effects 0.000 description 16
- 230000003449 preventive effect Effects 0.000 description 16
- 238000013528 artificial neural network Methods 0.000 description 15
- 238000003860 storage Methods 0.000 description 15
- 239000000203 mixture Substances 0.000 description 14
- 230000006870 function Effects 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 13
- 239000012536 storage buffer Substances 0.000 description 13
- 230000007246 mechanism Effects 0.000 description 12
- 239000000872 buffer Substances 0.000 description 9
- 230000006698 induction Effects 0.000 description 9
- 238000005192 partition Methods 0.000 description 9
- 238000004458 analytical method Methods 0.000 description 8
- 239000002826 coolant Substances 0.000 description 8
- 239000000284 extract Substances 0.000 description 8
- 210000002569 neuron Anatomy 0.000 description 8
- 238000012795 verification Methods 0.000 description 8
- 230000003750 conditioning effect Effects 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 239000000463 material Substances 0.000 description 7
- 238000010586 diagram Methods 0.000 description 6
- 238000011010 flushing procedure Methods 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- 230000000306 recurrent effect Effects 0.000 description 6
- 238000013024 troubleshooting Methods 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 5
- 238000012544 monitoring process Methods 0.000 description 5
- 238000011065 in-situ storage Methods 0.000 description 4
- 238000002347 injection Methods 0.000 description 4
- 239000007924 injection Substances 0.000 description 4
- 238000009434 installation Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000012937 correction Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000000605 extraction Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 230000006798 recombination Effects 0.000 description 3
- 238000005215 recombination Methods 0.000 description 3
- 238000010200 validation analysis Methods 0.000 description 3
- 230000001154 acute effect Effects 0.000 description 2
- 230000006978 adaptation Effects 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000005253 cladding Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000002596 correlated effect Effects 0.000 description 2
- 230000001808 coupling effect Effects 0.000 description 2
- 230000003111 delayed effect Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 238000013507 mapping Methods 0.000 description 2
- 238000000691 measurement method Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 238000005457 optimization Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 241000699666 Mus <mouse, genus> Species 0.000 description 1
- 241000699670 Mus sp. Species 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000003044 adaptive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 210000004027 cell Anatomy 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000013145 classification model Methods 0.000 description 1
- 239000003086 colorant Substances 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000013527 convolutional neural network Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000002790 cross-validation Methods 0.000 description 1
- 238000007405 data analysis Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000003745 diagnosis Methods 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 230000003203 everyday effect Effects 0.000 description 1
- 230000008713 feedback mechanism Effects 0.000 description 1
- 238000013100 final test Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 238000003064 k means clustering Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000003062 neural network model Methods 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- -1 oxide Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000013138 pruning Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000010183 spectrum analysis Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/04—Programme control other than numerical control, i.e. in sequence controllers or logic controllers
- G05B19/05—Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
- G05B19/056—Programming the PLC
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
- G05B19/41875—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06V—IMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
- G06V10/00—Arrangements for image or video recognition or understanding
- G06V10/40—Extraction of image or video features
- G06V10/56—Extraction of image or video features relating to colour
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06V—IMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
- G06V20/00—Scenes; Scene-specific elements
- G06V20/50—Context or environment of the image
- G06V20/52—Surveillance or monitoring of activities, e.g. for recognising suspicious objects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/32—Operator till task planning
- G05B2219/32368—Quality control
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06V—IMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
- G06V2201/00—Indexing scheme relating to image or video recognition or understanding
- G06V2201/06—Recognition of objects for industrial automation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Automation & Control Theory (AREA)
- Theoretical Computer Science (AREA)
- Multimedia (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- Robotics (AREA)
- General Engineering & Computer Science (AREA)
- Quality & Reliability (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
基板處理系統包括邊緣運算裝置,其包含有處理器,該處理器執行儲存於記憶體中的指令,以處理由基板與基板處理系統之構件之至少一者的相機所擷取的圖像或影音。該構件與在基板處理系統之處理腔室之間或基板處理系統與第二基板處理系統之間運送基板的機器人相關聯。相機沿著基板的行進路徑設置。指令將處理器配置成透過網路將第一數據從圖像傳送至遠端伺服器,並透過網路從遠端伺服器接收第二數據,以回應於傳送第一數據至遠端伺服器。指令將處理器配置成根據第二數據以自動化或自主方式操作基板處理系統。
Description
本發明總體上是關於基板處理系統,尤其是用於基板處理系統之即時原位檢測及控制的整合式電腦視覺系統。
[相關申請案之交互參照]
本申請主張2019年7月29日申請之美國臨時專利申請案第62/879,741號的優先權。上述引用之申請案的整體揭示內容併於此作為參考。
本文所提供的背景描述係為了概述本發明脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為是相對於本技術的先前技術。
基板處理系統通常包括複數處理腔室(亦稱為製程模組),以執行基板(例如半導體晶圓)之沉積、蝕刻及其他處理。可在基板上執行之製程的示例包括但不限於電漿增強化學氣相沉積(PECVD)製程、化學增強電漿氣相沉積(CEPVD)製程及濺射物理氣相沉積(PVD)製程。可以在基板上執行之製程的額外示例包括但不限於蝕刻(例如化學蝕刻、電漿蝕刻、反應性離子蝕刻等)及清潔製程。
在處理期間,基板係佈設於基板處理系統之處理腔室中的基板支撐件上,例如基座、靜電吸盤(ESC)等。在沉積期間,包括一或更多前驅物之氣體混合物被引入處理腔室中,且電漿被激燃,以激活化學反應。在蝕刻期間,包括蝕刻氣體之氣體混合物被引入處理腔室中,且電漿被激燃,以激活化學反應。受電腦控制之機器人通常按要處理基板之順序將基板從一處理腔室傳送至另一處理腔室。
基板處理系統包括一處理器及一記憶體,該記憶體儲存由處理器執行之指令,用以處理基板及該基板處理系統之一構件中之至少一者之相機所擷取的圖像。該構件與在該基板處理系統之處理腔室之間或在該基板處理系統與第二基板處理系統之間運送該基板相關聯。該相機係沿著基板處理系統中該基板於該等處理腔室之間的行進路徑設置。該等指令進一步將該處理器配置成透過網路從該圖像傳送第一數據至遠端伺服器,並透過網路從該遠端伺服器接收第二數據,以回應於傳送第一數據至遠端伺服器。該等指令進一步將處理器配置成根據第二數據來操作該基板處理系統。
在其他特徵中,回應於該圖像屬於構件且該構件包括位於基板處理系統之裝載室中的一墊,該墊係用於支撐基板、與基板相關聯之一邊緣耦合環、或運送基板之機器人,第二數據包括以下之一或更多者:該墊之狀況的指示;以及關於該墊維修與更換之一或更多者的建議。該等指令進一步使該處理器產生一排程,用於維修與更換該墊之一或更多者,以回應於第二數據包含有關於該墊維修與更換之一或更多者之該建議。
在其他特徵中,回應於該圖像屬於基板且該基板用於清潔該基板處理系統中該等處理腔室之一者期間,第二數據包括以下之一或更多者 : 該基板是屬於清潔該基板處理系統中該等處理腔室之該一者期間所使用之類型的指示;該基板之狀況的指示;關於更換該基板之建議;以及關於在該等處理腔室之該一者中選擇一配方的建議。該等指令進一步使該處理器在該等處理腔室之該一者中選擇該配方,以回應於第二數據包含有關於選擇該配方之建議。
在其他特徵中,回應於該圖像屬於基板,第二數據包括以下之一或更多者:該基板之類型的指示;以及用於選擇一配方以處理該基板的指示。該等指令進一步使該處理器選擇用於處理該基板之該配方,以回應於第二數據包含有關於選擇該配方之該指示。
在其他特徵中,回應於該圖像屬於基板,第二數據包括以下之一或更多者:該基板之類型的指示;在該等處理腔室中之一者中於該基板上執行之一製程的指示;處理過該基板之該等處理腔室之該一者之狀況的指示;用於選擇一配方以清潔處理過該基板之該等處理腔室之該一者的指示。該等指令進一步使該處理器:排程對該等處理腔室之該一者的維修,以回應於第二數據包含有該等處理腔室之該一者之該狀況的該指示。該等指令進一步使處理器選擇用於清潔處理過該基板之該等處理腔室之該一者的該配方,以回應於第二數據包含有關於選擇該配方的該指示。
在其他特徵中,回應於該圖像屬於該基板且由位於該基板處理系統之一裝載室中的該相機所擷取,第二數據包括以下之一或更多者:由一機器人從處理過該基板之該等處理腔室之該一者運送該基板而該基板在該裝載室中之位置漂移的指示;以及關於維修該機器人或處理過該基板之該等處理腔室之該一者的建議。該等指令進一步使該處理器產生用於維修該機器人之一排程,以回應於第二數據包含有關於維修該機器人之該建議。 該等指令進一步使該處理器在該等處理腔室之該一者中執行與釋出該基板予該機器人有關之調整,以回應於第二數據包括有關於維修處理過該基板之該等處理腔室之該一者的建議。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,第二數據包括以下之一或更多者:該邊緣耦合環之一序號;以及關於對該等處理腔室之該一者使用具有一正確序號之第二邊緣耦合環來更換該邊緣耦合環的建議。該等指令進一步使該處理器產生一排程以對該等處理腔室之該一者用具有該正確序號之第二邊緣耦合環更換該邊緣耦合環,以回應於包含有該建議的第二數據。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,第二數據包括以下之一或更多者:該邊緣耦合環為不正確之指示;與處理該基板有關的問題是由於該邊緣耦合環為不正確之指示;以及對該等處理腔室之該一者用正確的邊緣耦合環更換該邊緣耦合環的建議。該等指令進一步使該處理器產生一排程以對該等處理腔室之該一者用正確的邊緣耦合環更換該邊緣耦合環,以回應於包含有該建議的第二數據。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,第二數據包括以下之一或更多者 : 該等處理腔室之該一者中之蝕刻速率的指示;以及對用於該等處理腔室之該一者中之一配方進行調整的指示。該等指令進一步使該處理器調整用於該等處理腔室之該一者中之該配方,以回應於包含有關於該調整之該指示的第二數據。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該第二數據包括:調整用於該等處理腔室之該一者中之該邊緣耦合環與一配方之至少一者的指示,以回應於該邊緣耦合環對該等處理腔室之該一者為正確、該等處理腔室使用相同配方、且該邊緣耦合環呈現出與該基板處理系統中其他處理腔室中之邊緣耦合環之不同變化。該等指令進一步使該處理器調整用於該等處理腔室之該一者中之該邊緣耦合環與該配方之至少一者。
在另其他特徵中,一系統包括一處理器及一記憶體,該記憶體儲存由該處理器執行之指令,用以透過一網路從基板及基板處理系統之一構件中之至少一者之相機所擷取的圖像接收數據。該構件係與在該基板處理系統之處理腔室之間或在該基板處理系統與第二基板處理系統之間運送該基板相關聯。該相機係沿著基板處理系統中基板於該等處理腔室之間的行進路徑設置。該等指令進一步將處理器配置成輸入數據之一部份至一模型,該模型係經訓練以提供對操作該基板處理系統有用之輸出,並透過網路將該輸出從該模型傳送至基板處理系統。
在其他特徵中,回應於該圖像屬於構件且該構件包括位於該基板處理系統之裝載室中的一墊,該墊係用於支撐該基板、與該基板相關聯之一邊緣聯接環、或運送該基板之機器人,該模型之該輸出指示該墊的狀況並包含有關於該墊維修與更換之一或更多者的建議。
在其他特徵中,回應於該圖像屬於基板且該基板用於清潔該基板處理系統中該等處理腔室之一者期間,該模型之該輸出包括以下之一或更多者 :該基板是屬於清潔該基板處理系統中該等處理腔室之該一者期間所使用之類型的指示;該基板之狀況的指令;關於更換該基板之建議;以及關於在該等處理腔室之該一者中選擇一配方的建議。
在其他特徵中,回應於該圖像屬於基板,該模型之該輸出包括以下之一或更多者 : 該基板之類型的指示;以及用於選擇一配方以處理該基板的指示。
在其他特徵中,回應於該圖像屬於基板,該模型之該輸出包括以下之一或更多者 : 該基板之類型的指示;在該等處理腔室中之一者中於該基板上執行之一製程的指示;處理過該基板之該等處理腔室之該一者之狀況的指示;以及用於選擇一配方以清潔處理過該基板之該等處理腔室之該一者的指示。
在其他特徵中,回應於該圖像屬於基板且由位於該基板處理系統之裝載室中的相機所擷取,該模型之該輸出包括以下之一或更多者 : 由一機器人從處理過該基板之該等處理腔室之該一者運送該基板而該基板在該裝載室中之位置漂移的指示;以及關於維修該機器人或處理過該基板之該等處理腔室之該一者的建議。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括以下之一或更多者 : 該邊緣耦合環之一序號;以及關於對該等處理腔室之該一者用具有一正確序號之第二邊緣耦合環更換該邊緣耦合環的建議。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括以下之一或更多者 : 該邊緣耦合環為不正確之指示;與處理該基板有關的問題是由於該邊緣耦合環為不正確之指示;以及對該等處理腔室之該一者用一正確的邊緣耦合環更換該邊緣耦合環的建議。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括以下之一或更多者 : 該等處理腔室之該一者中之蝕刻速率的指示;以及對用於該等處理腔室之該一者中之一配方進行調整的指示。
在其他特徵中,回應於該圖像屬於構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括:調整用於該等處理腔室之該一者中之該邊緣耦合環與一配方之至少一者的指示,以回應於該邊緣耦合環對該等處理腔室之該一者為正確、該等處理腔室使用相同配方、且該邊緣耦合環呈現出與該基板處理系統中其他處理腔室中之邊緣耦合環的不同變化。
在另其他特徵中,一系統,包括一處理器及一記憶體,該記憶體儲存由該處理器執行之指令,用以從佈設於基板處理系統中之一或更多相機接收數據。該基板處理系統包括複數製程模組以及配置成在該等製程模組之間及該基板處理系統與第二基板處理系統之間運送基板之一機器人。該等指令使該處理器基於從佈設於基板處理系統中之該一或更多相機接收到的數據自動配置該基板處理系統。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動辨識安裝於基板處理系統中之一或更多子系統,並基於經辨識之子系統以自動配置基板處理系統。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動辨識安裝於基板處理系統中之一或更多子系統,並基於經辨識之子系統以自動配置基板處理系統之使用者介面。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動配置基板處理系統,以回應於該基板處理系統之被開啟電源。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動配置基板處理系統,以回應於該基板處理系統之被維修。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動辨識被增加之子系統或該子系統之一構件,並基於被增加之經辨識子系統及該子系統之該構件以自動配置該基板處理系統。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動辨識被移除之子系統或該子系統之一構件,並基於被移除之經辨識子系統及該子系統之該構件來自動配置該基板處理系統。
在另其他特徵中,一系統包括一處理器及一記憶體,該記憶體儲存由該處理器執行之指令,用以從佈設於基板處理系統中之一或更多相機接收數據。該基板處理系統包括複數製程模組以及配置成在該等製程模組之間及該基板處理系統與第二基板處理系統之間運送基板之一機器人。該等指令使該處理器基於從佈設於基板處理系統中之該一或更多相機接收到的數據,自動辨識該基板處理系統中一或更多子系統的狀態。
在其他特徵中,從該一或更多相機接收到的數據包括影音數據,且該等指令使該處理器透過即時處理影音數據來自動辨識一或更多子系統的狀態。
在其他特徵中,該等指令使該處理器基於從該一或更多相機接收到的數據以自動辨識並追蹤該基板處理系統之一物件之移動,並基於基板處理系統中該物件之該移動以自動辨識基板處理系統中一或更多子系統之狀態。該物件包括機器人、該等基板之一者、與該等基板一起使用之邊緣耦合環、該等製程模組之一者的門、基板處理系統之裝載室模組的門、或基板處理系統之裝載埠模組的門。
在其他特徵中,回應於該狀態為一錯誤,該等指令使該處理器基於從該一或更多相機接收到的數據以自動校正該錯誤。
在其他特徵中,該處理器配置成透過網路與遠端運算裝置通訊,且回應於該狀態為一錯誤,該等指令使該處理器基於透過網路從遠端運算裝置接收到的數據來自動校正該錯誤。
在其他特徵中,該處理器配置成透過網路將從該一或更多相機接收到的數據傳送至遠端運算裝置,且該等指令使該處理器允許該遠端運算裝置基於從該一或更多相機接收到的數據而透過網路控制該基板處理系統。
在其他特徵中,該處理器配置成透過網路與遠端運算裝置通訊,且該等指令使該處理器允許遠端運算裝置控制該基板處理系統,且在遠端運算裝置透過網路控制基板處理系統時不允許對基板處理系統的人工控制。
在其他特徵中,該處理器配置成透過網路與遠端運算裝置通訊,且該等指令使該處理器在基板處理系統被人工控制時不允許遠端運算裝置控制基板處理系統。
在其他特徵中,回應於該狀態為與該等子系統之一者相關之一錯誤,該等指令使該處理器自動關閉該等子系統之該一者。
在另其他特徵中,一系統包括一處理器及一記憶體,該記憶體儲存由該處理器執行之指令,以用以:透過佈設於基板處理系統中之單個相機接收從邊緣耦合環擷取的數據;使用三角交叉法處理數據,以產生代表該邊緣耦合環之厚度輪廓的三維點雲;以及基於該厚度輪廓確定該邊緣耦合環之腐蝕量。
在其他特徵中,該等指令使該處理器確定該腐蝕量是否大於或等於預定閾值,並產生該腐蝕量之指示。
在其他特徵中,該等指令使該處理器透過比較該厚度輪廓與該邊緣耦合環首次安裝在基板處理系統中時建立之先前三維點雲所產生之一先前厚度輪廓來確定腐蝕量。
透過詳細描述、請求項及圖式,本揭示內容之其他應用領域將變得顯而易見。詳細描述及特定示例僅為了說明目的,其用意不在於限制該揭示內容之範圍。
本揭示內容係關於系統及方法,其擷取例如半導體晶圓(以下稱為晶圓)之基板的圖像以及晶圓在製程模組之間及/或工具之間(例如, 氣室中)運送時所暫留處之周圍設備之一部分的圖像。圖像經過處理以用於特徵辨識及特徵提取。擷取圖像並對其進行一段時間處理,以產生用於使用機器學習來訓練諸多模型的數據。經訓練之模型接著用以確定製程模組、工具及其構件之健康,並即時調整製程模組及工具,以改善產量、良率及晶圓上製程質量。
該等系統及方法輔助通常用於確定製程模組及工具健康並建議措施以控制工具並改善產量、良率及晶圓上製程品質之諸多感測器、電腦視覺演算法及反饋機制。該等系統及方法持續從不斷擷取之圖像中學習。該等系統及方法使通常需人工干預之一些預防及校正任務之執行自動化。該等系統及方法監督半導體廠中機群各處之工具操作,並對工具之自動化控制提供反饋。
本揭示內容之電腦視覺系統包括偵測器及/或感測器,例如相機,且進一步包括圖像處理演算法、感知演算法、感測器融合演算法、使用機器學習訓練的模型、以及封閉式迴路控制演算法。在整篇本揭示內容中,相機僅用作偵測器/感測器之示例,並當理解的是,可替代使用任何其他偵測器/感測器。本揭示內容之電腦視覺系統取代通常用以檢測晶圓及工具並診斷機群各處之製程模組及工具中問題之昂貴且費時的計量裝備及製程,並使用封閉式迴路演算法使工具之控制自動化。此外,電腦視覺系統比人及計量系統更有效地執行以下所述之此些及更多任務。本揭示內容之此些及其他特徵於下詳細描述。
編排
本揭示內容編排如下。最初,參考圖1-7D示出並描述基板處理工具、其配置及其中所使用之處理腔室的許多示例。提供此些圖式及相應描述,以說明可在不同晶圓上執行之諸多製程以及晶圓在不同腔室與工具之間行進之諸多路徑。此外,提供此些圖式,以說明通常可從腔室及工具中諸多感測器收集到之數據的複雜性、多樣性及廣泛性。數據係用於診斷、校正並防止問題,並在腔室及工具中執行諸多調整、校準及預防性維護程序。
本揭示內容之系統及方法可獨立地或與此些感測器及從其收集到的數據結合操作,並可顯著改善在腔室及工具中所執行之診斷、校正及預防程序。此些圖提供對腔室及工具之操作的理解,其有助於瞭解本揭示內容之系統及方法所執行之操作的範圍。
圖8A示出根據本揭示內容之某些特徵的電腦視覺系統的高階架構。圖8B-8D示出可用於實施本揭示內容之系統及方法的示例性客戶端-伺服器架構。圖9A-9F示出工具中可放置一或更多偵測器(例如,本揭示內容之電腦視覺系統的相機)之位置的示例。圖10A示出位於工具中裝載室中之構件的示意圖,其可由圖9A-9F中所示之相機中的一者來擷取。圖10B示出至少兩相機之佈設,其用於擷取圖10A中所示之構件的三維圖像。圖11示出使用圖8A-8D之客戶端-伺服器架構並使用圖9A-9F所示之相機佈設而實施之電腦視覺系統的示例。
圖12A-12F示出基於電腦視覺系統從諸多工具所擷取之圖像而使用機器學習來訓練諸多模型之方法的示例。圖13A-13F示出基於即時(即,快速、或生產過程中正使用工具以處理晶圓時)擷取之圖像並使用經訓練之模型而執行之方法的示例。圖14A-14I示出使用電腦視覺系統而執行用於改善工具操作之基於模型之方法的額外示例。圖15A-16B示出使用機器學習來訓練模型之方法的示例。
圖17A-17D示出在圖1-6C所示之基板處理系統中執行自動配置以及自動錯誤偵測與錯誤恢復之系統及方法的示例。圖18A-18E示出使用單個相機來執行立體圖像處理之示例性系統及方法。
工具之示例
圖1示出基板處理工具100之示例的俯視圖。基板處理工具100包括複數製程模組104。僅作為示例,製程模組104之每一者可配置成在基板上執行一或更多相應製程。待處理之基板係透過設備前端模組(EFEM)108之裝載站的埠而裝載至基板處理工具100中,接著傳送至製程模組104之一或更多者中。例如,基板可連續地裝載至製程模組104之每一者中。
圖2示出製造室204之示例性佈設200,其包括例如基板處理工具100的複數基板處理工具208。圖3A-3I示出其中可佈設多個基板處理工具208(例如基板處理工具100)之配置的諸多示例。在此些示例中,晶圓行經不同路徑。據此,用以透過此些路徑運送晶圓之機器人的配置及操作有所不同。此外,各種感測器使用於此些示例中,以感測機器人及晶圓的諸多態樣。另外,如將參考圖9A-11詳細解釋,在此些示例中,一或更多偵測器(例如電腦視覺系統之相機)可放置於任何地方。
圖3A示出第一示例性配置300,其根據本揭示內容之某些實施例包含有第一基板處理工具304及第二基板處理工具308。第一基板處理工具304及第二基板處理工具308係依序地佈設並透過處於真空狀態下之傳送台312連接。如所示,傳送台312包括樞轉傳送機構,其配置成在第一基板處理工具304之真空傳送模組(VTM)316與第二基板處理工具308之VTM 320之間傳送基板。在其他示例中,傳送台312可包括其他合適的傳送機構,例如線性傳送機構。
僅作為示例,VTM 316之第一機器人(未示出)可將基板放置在佈設於第一位置中的支撐件324上,支撐件324樞轉至第二位置,且VTM 320之第二機器人(未示出)從第二位置中之支撐件324取走基板。在一些示例中,第二基板處理工具308可包括儲存緩衝件328,其配置成在處理階段之間儲存一或更多基板。傳送機構亦可被堆疊,以在基板處理工具308與304之間提供兩個或更多傳送系統。傳送台312亦可具有多個槽,以一次地運送或緩衝多個基板。在配置300中,第一基板處理工具304及第二基板處理工具308係配置成共用單個設備前端模組(EFEM)332。
圖3B示出第二示例性配置400,其包括依序地佈設且透過傳送台412連接之第一基板處理工具404及第二基板處理工具408。配置400類似於圖3A之配置300,除了在配置400中刪去EFEM。據此,基板可透過氣室裝載站416(例如,使用例如真空晶圓載具、前開式晶圓傳送盒(FOUP)等之儲存或傳送載具或者其他合適機構)直接裝載至第一基板處理工具404中。此配置中之感測器及相機的佈設可相應地不同於配置300。
圖3C示出第三示例性配置500,其包括基板處理工具504。該配置500刪去EFEM,並僅使用得以用於更多數(例如7個)製程模組512之單個裝載站508。在裝載站508處,基板可透過氣室裝載站(例如,使用例如真空晶圓載具、前開式晶圓傳送盒(FOUP)等之儲存或傳送盒或者其他合適機構)直接裝載至基板處理工具504中。據此,此配置中之感測器及相機的佈設可相應地不同於配置300及400。
圖3D示出第四示例性配置600,其包括共用單個EFEM 612之第一基板處理工具604及第二基板處理工具608。更具體地,第一基板處理工具604及第二基板處理工具608透過相應裝載站616及620連接至EFEM 612之相應端。裝載站616及620可各自具有堆疊配置,其可包括合適的感測器及相機。
圖3E示出第五示例性配置700,其包括共用單個EFEM 712之第一基板處理工具704及第二基板處理工具708。第一基板處理工具704及第二基板處理工具708透過相應裝載站716及720連接至EFEM 712之相應端。裝載站716及720可各自具有堆疊配置,其可包括合適的感測器及相機。
圖3F示出第六示例性配置800,其包括一或更多排依序佈設之基板處理工具804、808等。在配置800中,每排可包括透過相應傳送台812連接之3個或更多基板處理工具。傳送台812可包括樞轉傳送機構、線性傳送機構等。第一EFEM 816提供於該排之基板處理工具804、808的第一端,而第二EFEM 820提供於該排之基板處理工具804、808的第二端。例如,基板可在第一EFEM 816處裝載,透過基板處理工具804、808之諸多製程模組依序處理並傳送,接著從第二EFEM 820卸除/取走。在一些示例中,傳送台812內之傳送機構可垂直地堆疊,以在相鄰基板處理工具之間提供兩個或更多傳送系統。傳送台812亦可具有多個槽,以一次地移動或緩衝多個基板。如可知悉的,配置800中感測器及相機的佈設可不同於其他配置中感測器的佈設。
圖3G示出第七示例性配置900,其包括基板處理工具904。在配置900中,基板處理工具904包括例如8個製程模組908,並刪去EFEM及任何外部裝載站。反而,一或更多運送載具(例如,真空晶圓載具)912提供於基板處理工具904的內部916中。例如,可使用自動化運送系統,例如自動化材料處理系統(AMHS),從基板處理工具904上方傳送載具912。機器人920從載具912取出基板並將基板傳送至製程模組908。如可知悉的,配置900中感測器及相機的佈設可與其他配置中的不同。
圖3H示出第八示例性配置1000,其包括具有複數製程模組1008之基板處理工具1004。基板處理工具1004包括線性VTM 1012及配置成在EFEM 1020與製程模組1008之間傳送基板的機器人1016。VTM 1012係配置成調整機器人1016相對於製程模組1008之線性位置(即,調整機器人1016相對於VTM 1012之端到端位置)。
圖3I示出第九示例性配置1100,其包括基板處理工具1104。基板處理工具1104包括製程模組1108之叢集佈設,以及可選的末端製程模組1112。製程模組1108共用單個EFEM 1116。又,配置1000及1100中感測器及相機的佈設可不同於其他配置。
在一些示例中,本文所述之任何處理工具可實施具有堆疊配置之裝載站。例如,如圖3C及3E所示之裝載站508、716、720等可實施堆疊配置。換言之,在堆疊配置中,裝載站可包括兩個或更多垂直堆疊的裝載站。在一些示例中,堆疊配置亦可包括與一或更多裝載站垂直堆疊的製程模組或腔室(例如,整合式臨界帶(ICS)腔室)。根據某些實施例,額外的感測器及相機可用於此些堆疊配置中。
工具的額外示例
圖4示出基板處理工具1150之又一示例的俯視圖。基板處理工具1150包括複數製程模組1154。例如,製程模組1154之每一者可配置成在基板上執行一或更多相應製程。待處理之基板係透過大氣-真空(ATV)傳送模組(例如設備前端模組(EFEM)1158)之裝載站的埠而裝載至基板處理工具1150中,接著傳送至製程模組1154之一或更多者中。例如,傳送機器人1162係佈設成將基板從裝載站1166傳送至氣室或裝載室1170,而真空傳送模組1174之真空傳送機器人1178係佈設成將基板從裝載室1170傳送至諸多製程模組1154。
例如,基板處理工具之設備前端模組(EFEM)可包括一或更多傳送模組,用於在EFEM與裝載室之間傳送基板,裝載室佈設於EFEM與真空傳送模組(VTM)之間。EFEM之內部容積必須足以容納傳送機器人。據此,裝載室通常位於設備前端模組(EFEM)佔用空間的外部而在EFEM與VTM之間。在一些示例中,EFEM可包括傳送機器人,其具有允許氣室至少部分地位於EFEM內之配置。圖2所示之製造室204可包括複數基板處理工具1150。
圖5A-6C示出其中可佈設該複數基板處理工具(例如基板處理工具1150)之配置的諸多示例。在此些示例中,晶圓行經不同路徑。據此,用以透過此些路徑傳送晶圓之機械人的配置及操作有所不同。此外,各種感測器使用於此些示例中,以感測機器人及晶圓的諸多態樣。另外,如將參考圖9A-11詳細解釋,在此些示例中,一或更多偵測器(例如電腦視覺系統之相機)可放置於任何地方。
圖5A-5D示出第一基板處理工具1200-1、第二基板處理工具1200-2及第三基板處理工具1200-3(統稱為基板處理工具1200)之示例性配置的平面圖。類似於基板處理工具1150,基板處理工具1200之每一者包括經修改的設備前端模組(EFEM)1204,其配置成容納裝載室1208的至少一部分。換言之,取代了位於EFEM 1204外部而在EFEM 1204與真空傳送模組(VTM)1212之間的間隙中,裝載室1208乃延伸至EFEM 1204內部。
據此,EFEM 1204可位於更靠近VTM 1212處,從而減少總體佔用空間並增加基板處理工具1200之間距。例如,EFEM 1204之傳送機器人1216佈設成比EFEM 1204之背壁1224(例如第二側)更靠近前壁(例如,第一側)上之裝載站1220,以對裝載室1208提供得以延伸至EFEM 1204內部的空間。在一些示例中,裝載室1208可配置為如圖5D中基板處理工具1200-3之另一佈設所示。僅作為示例,裝載站1220可對應於前開式晶圓傳送盒(FOUP)。
如所示,基板處理工具1200包括六個製程模組1228。然而,基板處理工具1200之其他配置可包括多於六個的製程模組1228。例如,VTM 1212之長度可擴展至得以容納額外製程模組1228。類似地,VTM 1212可包括具有諸多配置之真空傳送機器人1232。例如,基板處理工具1200-1包括三個真空傳送機器人1232,而基板處理工具1200-2包括兩個真空傳送機器人1232。在基板處理工具1200-1及1200-2中,機器人1232對準於VTM 1212之中心縱向軸線。
相反地,基板處理工具1200-3包括單個真空傳送機器人1232,其佈設為相對於VTM 1212之中心縱向軸線偏離中心 (即,朝製程模組1228偏左或偏右)。換言之,基板處理工具1200-3中之機器人1232的主要樞軸點偏離中心。雖然示為具有一或兩個臂,但機器人1216及1232之每一者可具有包含有一個、兩個或更多臂的配置。在一些示例中,機器人1232可在每一臂上包括兩個末端執行器1234,如圖5C和5D所示。
基板處理工具1200可包括一或更多儲存緩衝件1236,其配置成在處理階段之間儲存一或更多基板。在一些示例中,一或更多儲存緩衝件1240可位於VTM 1212內。在一些示例中,一或更多儲存緩衝件1240可用製程模組或其他構件來代替。
在一些示例中,EFEM 1204、裝載室1208、VTM 1212及製程模組1228中之一或更多者可具有堆疊配置。例如,製程模組1228之每一者可對應於呈垂直堆疊配置之兩個製程模組1228(即,一製程模組1228佈設於另一者上方/下方),VTM 1212可對應於呈垂直堆疊配置之兩個VTM 1212,裝載室1208之每一者可對應於呈垂直堆疊配置之兩個裝載室1208,且裝載站1220之每一者可對應於呈垂直堆疊配置之兩個裝載站1220。可增加EFEM 1204的高度以允許機器人1216升高及下降至EFEM 1204內的不同位準,以到達裝載站1220及裝載室1208之多個位準。如可知悉的,此些配置中感測器及相機的佈設可相應地變化。
圖6A-6C示出另一基板處理工具1600之示例性配置的平面圖。基板處理工具1600包括經修改之設備前端模組(EFEM)1604,其配置成容納一或更多裝載室1608之至少一部分。換言之,取代完全位於EFEM 1604外部而在EFEM 1604與真空傳送模組(VTM)1612間之間隙中,裝載室1608延伸至EFEM 1604內部。據此,EFEM 1604可位於更靠近VTM 1612處,從而減少總體佔用空間並增加複數基板處理工具1600之間距。
如所示,基板處理工具1600包括十個製程模組1616。然而,基板處理工具1600之其他配置可包括多於十個的製程模組1616。例如,VTM 1612的長度可擴展至得以容納額外製程模組1616。類似地,VTM 1612可包括具有諸多配置之一或更多真空傳送機器人1620(例如,傳送機器人1620-1、1620-2、1620-3、1620-4及1620-5)。如圖6A所示,在每一配置中,傳送機器人1620包括具有三個臂段1628之一個臂1624及一個末端執行器1632。在其他配置中,傳送機器人1620可包括一個、兩個或更多臂1624。在一些示例中,機器人1620可在每一臂1624上包括兩個末端執行器1632。
在圖6A中,基板處理工具1600包括單個真空傳送機器人1620-1,其佈設為相對於VTM 1612之中心縱向軸線偏離中心(即,朝製程模組1616偏左或偏右)。換言之,機器人1620-1之主要樞軸點偏離中心。機器人1620-1係設成並配置成到達十個製程模組1616及裝載室1608之每一者。在基板處理工具1600包括儲存緩衝件1636及/或儲存緩衝件1640之配置中,機器人1620-1亦配置成到達儲存緩衝件1636/1640。
在圖6B及6C中,基板處理工具1600分別包括兩個真空傳送機器人1620-2及1620-3或1620-4及1620-5,其佈設為相對於VTM 1612之中心縱向軸線偏離中心(即,朝製程模組1616偏左或偏右)。機器人1620-2及1620-4係設成且配置成到達十個製程模組1616及裝載室1608之選定者。相反地,機器人1620-3及1620-5係設成且配置成到達十個製程模組1616中之其他者。在基板處理工具1600包括儲存緩衝件1636及/或儲存緩衝件1640之配置中,機器人1620-3及1620-5亦可配置成到達儲存緩衝件1636,而圖6B中之機器人1620-2及1620-3 兩者與圖6C中之機器人1620-4及1620-5兩者皆配置成到達儲存緩衝件1640。
例如,如圖6B所示,機器人1620-2與製程模組1616中之相應者對準(例如,在其水平軸上置中),而機器人1620-3佈設於在製程模組1616之相鄰者之間置中。相反地,如圖6C中所示,機器人1620-4與1620-5之每一者對準製程模組1616中之相應者。如可知悉的,此些配置中感測器及相機的佈設可相應地變化。
腔室的示例
圖7A-7C示出可用於圖1-6C中所示工具中之製程模組(PM)的不同示例 。除了電腦視覺系統之相機外,此些製程腔室亦可配有一或更多獨立的相機。反而,此些位於PM之窗口外部的相機係用於監測PM內部。此些相機(未示出)為感測器的一部分,通常用於感測PM及工具之諸多操作。電腦視覺系統與此些感測器及相機協同操作,如下將參考圖9A-11所解釋。圖7A-7C中所述之控制器可與電腦視覺系統交換數據,並可基於從電腦視覺系統接收的數據來操作各個PM,如下將參考圖9A-11所解釋。
圖7A示出包括處理腔室1702之基板處理系統1700的示例。儘管該示例係在電漿增強化學氣相沉積(PECVD)背景下進行描述,但本揭示內容之教示可應用於其他類型的基板處理,例如原子層沉積(ALD)、電漿增強ALD (PEALD)、CVD 、或又其他製程,包括蝕刻製程。該系統1700包括處理腔室1702,其包圍系統1700之其他構件並含有射頻(RF)電漿(若使用的話)。處理腔室1702包括上部電極1704及靜電吸盤(ESC)1706或其他基板支撐件。在操作期間,將基板1708佈設在ESC 1706上。
例如,上部電極1704可包括引入並分佈製程氣體之氣體分佈裝置1710,例如噴淋頭。氣體分佈裝置1710可包括桿部,其一端連接至處理腔室1702之頂表面。噴淋頭的基部一般為圓柱形,並在與處理腔室1702之頂表面隔開的位置處從桿部之相對端徑向朝外延伸。噴淋頭之基部的面向基板表面或面板包括複數孔,汽化的前驅物、製程氣體或沖洗氣體流過此些孔。可替代地,上部電極1704可包括導電板,且製程氣體可以另一方式被引入。
ESC 1706包括用作下部電極之底板1712。底板1712支撐加熱板1714,其可對應於陶瓷多區加熱板。熱阻層1716可佈設於加熱板1714與底板1712之間。底板1712可包括一或更多通道1718,用於使冷卻劑流過底板1712。
若使用電漿,RF產生系統1720產生並輸出RF電壓至上部電極1704與下部電極(例如,ESC 1706的底板1712)之一者。上部電極1704與底板1712中之另一者可為DC接地、AC接地或浮動。例如,RF產生系統1720可包括RF產生器1722,其產生由匹配且分佈網路1724供至上部電極1704或底板1712之RF功率。在其他示例中,電漿可感應地或遠端地產生。
蒸汽輸送系統1730包括一或更多氣體源1732-1、1732-2、…及1732-N(統稱為氣體源1732),其中N為大於零的整數。氣體源1732透過閥1734-1、1734-2、…及1734-N(統稱為閥1734)及質量流量控制器1736-1、1736-2、…及1736-N(統稱為質量流量控制器1736)連接至歧管1740。蒸汽輸送系統1742將汽化前驅物供應至歧管1740或連接至處理腔室1702之另一歧管(未示出)。歧管1740之輸出供至處理腔室1702。
溫度控制器1750可連接至佈設於加熱板1714中之複數熱控制元件(TCE)1752。溫度控制器1750可用於控制該複數TCE 1752,以控制ESC 1706及基板1708的溫度。溫度控制器1750可與冷卻劑組件1754連通,以控制冷卻劑流過通道1718。例如,冷卻劑組件1754可包括冷卻劑泵、貯存器及一或更多溫度感測器(未示出)。溫度控制器1750操作冷卻劑組件1754,以使冷卻劑選擇性地流過通道1718以冷卻ESC 1706。閥1756及泵1758可用於從處理腔室1702中排出反應物。系統控制器1760控制系統1700之構件。
圖7B示出基板處理系統1800之另一示例。基板處理系統1800包括線圈驅動電路1811。在一些示例中,線圈驅動電路1811包括RF源1812、脈衝電路1814及調諧電路(即,匹配電路)1813。脈衝電路1814控制由RF源1812所產生之RF信號的變壓器耦合電漿(TCP)包層,並在操作期間於1%與99%之間變化TCP包層的工作週期。如可知悉的,脈衝電路1814與RF源1812可合併或分開。
調諧電路1813可直接連接至感應線圈1816。儘管基板處理系統1810使用單個線圈,但一些基板處理系統可使用複數線圈(例如,內部及外部線圈)。調諧電路1813將RF源1812之輸出調諧至所欲頻率及/或所欲相位,並匹配線圈1816的阻抗。
介電窗1824沿著處理腔室1828之頂側佈設。處理腔室1828包括基板支撐件(或基座)1832,以支撐基板1834。基板支撐件1832可包括靜電吸盤(ESC)、或機械吸盤或其他類型吸盤。製程氣體係供應至處理腔室1828,且電漿1840於處理腔室1828內部產生。電漿1840蝕刻基板1834之顯露表面。RF源1850、脈衝電路1851及偏壓匹配電路1852可用於在操作期間偏壓基板支撐件1832以控制離子能量。
氣體輸送系統1856可用於將製程氣體混合物供應至處理腔室1828。氣體輸送系統1856可包括製程及惰性氣體源1857、氣體計量系統1858(例如閥及質量流量控制器)以及歧管1859。氣體注入器1863可佈設於介電窗1824的中心,並用於將來自氣體輸送系統1856的氣體混合物注入至處理腔室1828中。額外地或可替代地,氣體混合物可從處理腔室1828的側面注入。
加熱器/冷卻器1864可用於加熱/冷卻基板支撐件1832至預定溫度。排出系統1865包括閥1866及泵1867,以控制處理腔室中的壓力及/或透過沖洗或抽空從處理腔室1828中去除反應物。
控制器1854可用於控制蝕刻製程。控制器1854監測系統參數並控制氣體混合物的輸送;激燃、維持並熄滅電漿;去除反應物;供應冷卻氣體;等等。另外,如下所述,控制器1854可控制線圈驅動電路1810、RF源1850及偏壓匹配電路1852之諸多態樣等等。
圖7C示出用於蝕刻基板之層的處理腔室1900。處理腔室1900包括下部腔室區域1902及上部腔室區域1904。下部腔室區域1902由腔室側壁表面1908、腔室底表面1910及氣體分佈裝置1914之下表面定義。
上部腔室區域1904係由氣體分佈裝置1914之上表面及圓頂1918的內表面定義。在一些示例中,圓頂1918留置於第一環形支撐件1921上。在一些示例中,第一環形支撐件1921包括一或更多隔開孔1923,用於輸送製程氣體至上部腔室區域1904。在一些示例中,製程氣體係透過一或更多隔開孔1923以相對於包括氣體分佈裝置1914之平面呈銳角之向上方向來輸送,但可使用其他角度/方向。在一些示例中,第一環形支撐件1921中之氣流通道1934供應氣體至一或更多隔開孔1923。
第一環形支撐件1921可留置於第二環形支撐件1925上,其定義出一或更多隔開孔1927,用於將製程氣體從氣流通道1929輸送至下部腔室區域1902。在一些示例中,氣體分佈裝置1914中的孔1931對準孔1927。在其他示例中,氣體分佈裝置1914具有較小直徑,且不需要孔1931。在一些示例中,製程氣體係透過一或更多隔開孔1927以相對於包括氣體分佈裝置1914之平面呈銳角之向下方向朝基板1926來輸送,但可使用其他角度/方向。在其他示例中,上部腔室區域1904為具有平坦頂表面的圓柱形,且可使用一或更多平坦感應線圈。在又其他示例中,單個腔室可與位於噴淋頭與基板支撐件之間的間隔件一起使用。
基板支撐件1922佈設於下部腔室區域1904中。在一些示例中,基板支撐件1922包括靜電吸盤(ESC),但可使用其他類型的基板支撐件。在蝕刻期間,基板1926係佈設於基板支撐件1922的上表面上。在一些示例中,基板1926的溫度可透過加熱器板1930、具流體通道之可選冷卻板、及一或更多感測器(未示出)來控制,但可使用任何其他合適的基板支撐件溫度控制系統。
在一些示例中,氣體分佈裝置1914包括噴淋頭(例如,具有複數隔開孔1927之板1928)。該複數隔開孔1927從板1928的上表面延伸至板1928的下表面。在一些示例中,隔開孔1927具有0.4“至0.75”範圍內的直徑,且噴淋頭係由導電材料(例如鋁)或具有嵌埋電極(由導電材料所製成)之非導電材料(例如陶瓷)製成。
一或更多感應線圈1940佈設於圓頂1918外部周圍。當通電時,一或更多感應線圈1940在圓頂1918內部產生電磁場。在一些示例中,使用上部線圈及下部線圈。氣體注入器1942注入來自氣體輸送系統1950-1之一或更多氣體混合物。
在一些示例中,氣體輸送系統1950-1包括一或更多氣體源1952、一或更多閥1954、一或更多質量流量控制器(MFC)1956、以及混合歧管158,但可使用其他類型的氣體輸送系統。氣體分流器(未示出)可用於改變氣體混合物的流速。另一氣體輸送系統1950-2可用於供應蝕刻氣體或蝕刻氣體混合物至氣流通道1929及/或1934 (除了或代替來自氣體注入器1942的蝕刻氣體)。
在一些示例中,氣體注入器1942包括引導氣體朝向下方向之中央注入位置以及相對於向下方向呈一角度注入氣體之一或更多側注入位置。在一些示例中,氣體輸送系統1950-1以第一流速將氣體混合物之第一部分輸送至中心注入位置,並以第二流速將氣體混合物之第二部分輸送至氣體注入器1942之側注入位置 。在其他示例中,不同的氣體混合物係透過氣體注入器1942來輸送。在一些示例中,氣體輸送系統1950-1將調諧氣體輸送至氣流通道1929與1934及/或處理腔室中的其他位置,將如下所述。
電漿產生器1970可用於產生輸出至一或更多感應線圈1940之RF功率。電漿1990在上部腔室區域1904中產生。在一些示例中,電漿產生器1970包括RF產生器1972及匹配網路1974。匹配網路1974將RF產生器1972的阻抗與一或更多感應線圈1940的阻抗匹配。在一些示例中,氣體分佈裝置1914連接至參考電位,例如接地。閥1978及泵1980可用於控制下部及上部腔室區域1902、1904內部的壓力並排出反應物。
控制器1976與氣體輸送系統1950-1與1950-2、閥1978、泵1980及電漿產生器1970通訊,以控制製程氣體之流動、沖洗氣體、RF電漿及腔室壓力。在一些示例中,電漿透過一或更多感應線圈1940維持在圓頂1918內部。一或更多氣體混合物係使用氣體注入器1942(及/或孔1923)從腔室的頂部引入,且電漿係使用氣體分佈裝置1914而局限於圓頂1918內。
將電漿局限於圓頂1918中允許電漿物種之體內再結合(volume recombination)並透過氣體分佈裝置1914流出所欲蝕刻劑物種。在一些示例中,無RF偏壓施加至基板1926。因此,基板1926上無活性鞘層(active sheath),且離子未以任何有限能量撞擊基板。一些離子量將通過氣體分佈裝置1914擴散出電漿區域。然而,擴散的電漿量比位於圓頂1918內部的電漿低一個數量級。電漿中大多數離子在高壓下因體內再結合而損耗。氣體分佈裝置1914之上表面處的表面再結合損耗亦降低氣體分佈裝置1914下方的離子密度。
在其他示例中,提供RF偏壓產生器1984,其包括RF產生器1986及匹配網路1988。RF偏壓可用於在氣體分佈裝置1914與基板支撐件之間產生電漿,或在基板1926上產生自偏壓以吸引離子。控制器1976可用於控制RF偏壓。
邊緣耦合環可用於調整基板徑向外邊緣附近之電漿的蝕刻速率及/或蝕刻輪廓。邊緣耦合環通常位於基板之徑向外邊緣周圍基座上。基板徑向外邊緣處之製程條件可透過改變邊緣耦合環的位置、邊緣耦合環之內邊緣的形狀或輪廓、邊緣耦合環相對於基板之上表面的高度、邊緣耦合環的材料等來更改。
圖7D示出環繞基座1871之邊緣耦合環1870的示例。邊緣耦合環1870可包括單個部分或兩個或更多部分。在所示之示例中,邊緣耦合環1870包括佈設在基板1873徑向外側之第一環形部分1872。第二環形部分1874係從第一環形部分1872徑向偏內地位於基板1873下方。第三環形部分1876佈設於第一環形部分1872下方。在使用期間,電漿1875被引導至基板1873處,以蝕刻基板1873之顯露部分。邊緣耦合環1870係佈設成幫助電漿成形,遂而進行基板1873之均勻蝕刻。在已使用邊緣耦合環1870之後,邊緣耦合環1870之徑向內部的上表面可能出現侵蝕(例如,在1878處)。因此,電漿1875可能傾向於以比蝕刻其徑向內部之更快速率蝕刻基板1873之徑向外部邊緣,而基板1873之不均勻蝕刻可能發生於基板1873之徑向外部邊緣附近。
邊緣耦合環1870之一或更多部分可相對於基板或基座1871垂直及/或水平移動。該移動在蝕刻或其他基板處理期間改變了電漿1875相對於基板1873之邊緣耦合效應,而無需打開處理腔室。致動器1880可佈設於諸多位置,以使邊緣耦合環1870之一或更多部分相對於基板1873移動。僅作為示例,致動器1880可佈設於邊緣耦合環1870之第一環形部分1872與第三環形部分1876之間。在一些示例中,致動器1880可包括壓電致動器、步進馬達、氣動驅動器或其他合適的致動器。在一些示例中,使用一個、兩個、三個或四個或更多致動器。在其他示例中,多個致動器可均勻地佈設於邊緣耦合環1870周圍。致動器可佈設於處理腔室之內部或外部。
致動器1880係用於移動邊緣耦合環60之一或更多部分,以改變邊緣耦合環1870之一或更多部分的位置。例如,致動器1880可用於移動邊緣耦合環1870之第一環形部分1872。在此示例中,致動器1880沿向上或垂直方向移動邊緣耦合環1870之第一環形部分1872,使得邊緣耦合環1870之第一環形部分1872的邊緣相對於基板1873之徑向外邊緣更高。因此,基板1873之徑向外邊緣附近的蝕刻均勻性獲得改善。
致動器1880可沿其他方向(例如水平、對角線等)移動。可執行邊緣耦合環1870之該部分的水平移動,以使邊緣耦合效應相對於基板1873置中。例如,致動器1880可佈設於邊緣耦合環1870之徑向外側。另外,致動器1880可沿垂直(或上/下)方向及水平(或左右)方向移動。當基板之蝕刻顯示邊緣耦合環1870相對於基板水平偏移時,可使用水平重新定位。水平偏移可在不打開處理腔室下進行校正。同樣地,可透過以不同於其他致動器之方式致動一些致動器以校正或產生側對側不對稱來執行邊緣耦合環1870之傾斜。
電腦視覺系統
從以上描述中可知悉,有諸多類型的製程在諸多類型之晶圓上執行。晶圓係在具有不同配置之製程模組佈設工具中進行處理。取決於所執行之製程及工具的配置,晶圓經常行進(即,被運送)於腔室與工具之間。使用設置在腔室及工具中諸多位置的感測器,自此些腔室及工具收集諸多類型之數據。另外,一或更多偵測器(例如相機)可佈設於處理腔室周圍,以觀察並提供關於處理腔室內諸多構件的額外數據。
可使用廣泛的電腦視覺偵測器(感測器)硬體,其可在不同波長(例如,光學相機、IR相機、X射線成像等)下以不同配置(解析度、景深、光圈大小等),使用不同鏡頭類型(例如,視野、焦距、濾鏡等),並用不同通訊協議(USB,MIPI等)來運作。可使用具有不同波長之諸多類型的光源及照明配置。諸多技術(包括核對器板或帶有圖紋的晶圓)均可用於相機的原位校準,以解決鏡頭變形、相機安裝變異、照明變異等。從偵測器及感測器收集到的數據係用於驗證製程是否正確執行、是否需變更製程及/或腔室/工具中的任何構件、是否需執行任何預防性維護或需更換任何構件等等。
根據本揭示內容之某些實施例的電腦視覺系統包括放置在工具中一或更多位置處(例如,在工具之氣室上、機械臂上、對準器上等等)之一或更多偵測器,例如相機。此些相機是通常用於觀察製程模組內部之相機額外有的並與其分開。反而,此些相機係在晶圓正在平台內、在工具之製程模組之間或在工具之間運送時擷取晶圓的圖像。
例如,此些相機擷取進入/離開晶圓、置於氣室中之邊緣耦合環、ATM及VTM機器人末端執行器以及氣室指墊的圖像。此使得晶圓處理系統得以原位檢測、實現工具自意識功能及機群辨識,並且提供工具適應(adaptation)特徵。此些相機收集到的圖像在嵌入式處理器或外部印刷電路板上進行處理,用於特徵提取。提取的特徵傳送至並收集在整個機群分佈式運算並通訊系統(fleet-wide distributed computing and communication system)上,該系統能夠儲存來自廠中諸多工具的大數據,使用機器學習來建立並訓練模型以關聯數據來確定工具健康、機群辨識、以及用於機群各處工具之即時閉環控制。
因此,使用圖像處理技術訓練的模型允許半導體裝備之原位工具檢測及健康監測,其亦實現即時自適應能力。可知悉的是,電腦視覺系統透過使諸多維護、故障排除及工具控制任務自動化,來實現機群各處半導體工具之自動化操作及控制。
電腦視覺系統可提供許多益處。以下僅是使用例的幾個示例。例如,電腦視覺系統可用於監測機器人末端執行器及氣室指墊、在氣室中隨時間推移偵測墊磨損(例如形狀、大小、顏色等變化)、並預測墊更換時間並向使用者發出警報以更換墊。例如,電腦視覺系統可用於監測晶圓邊緣,以確定氣室中晶圓放置精度及其隨時間的潛在漂移。隨時間觀察到的漂移可用於確定ATM / VTM機器人健康,並建議預防性維護排程。隨時間觀察到的不同晶圓放置漂移樣態/輪廓可關聯至不同機器人子系統故障,其可指導操作人員進行維護,並可減少故障排除的成本及時間。例如,可對此系統中之機器人末端執行器位置使用不同類型之邊緣偵測演算法。
例如,電腦視覺系統可用於透過確定晶圓上之晶粒圖紋來辨識進入晶圓的類型(例如,邏輯、記憶體、3D NAND等),並將工具健康關聯至進入晶圓的類型以及在處理模組中使用的配方類型。例如,透過使用物件偵測演算法來辨識晶粒圖紋,並透過分析不同RGB色頻(RGB channel)中之灰度值直方圖(gray value histograms),即可辨識不同晶圓類型類別。例如,電腦視覺系統可用於監測CWAC晶圓隨時間的顏色變化,並依此預測CWAC晶圓更換。
例如,電腦視覺系統可用於監測氣室中邊緣耦合環之厚度及邊緣輪廓,以確定進入的邊緣耦合環是新的或是使用過的。例如,電腦視覺系統可用於監測氣室中邊緣耦合環之厚度及邊緣腐蝕輪廓,以確定離開之邊緣耦合環的狀況。例如,電腦視覺系統可用以基於進入/離開之邊緣耦合環邊緣腐蝕輪廓之間的變化來確定製程模組中的蝕刻速率。此數據可用於自動調整製程模組的配方,或透過升降銷自動調整吸盤上方邊緣耦合環的高度,以達到工具間製程模組匹配。設想許多其他使用例。
環境
以下是可實施本揭示內容之系統及方法的分佈式運算環境的簡化示例。在整篇描述中,引用例如伺服器、客戶端裝置、應用程式等之術語僅出於說明目的。術語伺服器及客戶端裝置應廣義地理解為表示具有配置成執行機器可讀指令之一或更多處理器及記憶體的運算裝置。術語應用程式及電腦程序應廣義地理解為代表可由運算裝置執行的機器可讀指令。
圖8A示出根據本揭示內容之電腦視覺系統1770的高階架構。電腦視覺系統1770包括通訊連接至分佈式運算系統1774之複數機群1772-1、1772-2、...及1772-N(統稱為機群1772)。例如,工具機群可類似於圖2所示之製造設施中的工具佈設。每一機群1772包括一或更個偵測器(例如相機)1780、圖像處理器1782、感知演算法1784、控制器1786、機器人1788、致動器1790及配方1792。電腦視覺系統1770之概述於下呈現。電腦視覺系統1770的細節隨後參考圖9A來描述。
簡言之,偵測器1780擷取機群1772中工具之諸多構件的圖像。圖像處理器1784處理圖像。例如,圖像處理器1784可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡化、邊緣檢測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵檢測、深度估計等等。
根據某些實施例,分佈式運算系統1774包括複數伺服器(例如,參見圖8B-8D及圖11)。分佈式運算系統1774訓練諸多模型,其可用於自動執行機群1772中的特定任務。分佈式運算系統1774基於隨時間從機群1172之圖像收集到的數據來訓練諸多模型。分佈式運算系統1774使用感知、感測器融合及機器學習演算法訓練諸多模型。
使用時,在機群1772的操作期間,圖像數據被發送至分佈式運算系統1774中之經訓練模型。作為回應,機群1772中之控制器1786即時接收經訓練模型之輸出作為輸入,以自動執行機群1772中工具之構件的操作,例如調整、清潔、維修等等,如下進一步詳細敘述。在一些實施方式中,控制器1786可包括邊緣運算裝置。
圖8B示出分佈式運算系統2000的簡化示例。分佈式運算系統2000包括分佈式通訊系統2010、一或更多客戶端裝置2020-1、2020-2、…及2020-M(統稱為客戶端裝置2020)、一或更多伺服器2030-1、2030-2、…及2030-N(統稱為伺服器2030)。M及N為大於或等於1的整數。分佈式通訊系統2010可包括區域網路(LAN)、例如網際網路之廣域網路(WAN)、或其他類型的網路。客戶端裝置2020及伺服器2030可位於不同地理位置,並透過分佈式通訊系統2010相互通訊。客戶端裝置2020及伺服器2030使用無線及/或有線連結連接至分佈式通訊系統2010。
客戶端裝置2020可包括一或更多工具、控制工具的系統電腦、PM以及控制PM的控制器。客戶端裝置2020亦可包括工具之操作員所使用的智慧型手機、個人數位助理(PDA)、平板電腦、膝上型電腦、個人電腦(PC)等。伺服器2030可向客戶端裝置2020提供多個服務。例如,伺服器2030可執行由一或更多供應商開發的軟體及機器學習應用程式。伺服器2030可代管軟體應用程式在提供服務予客戶端裝置2020之使用者時所依賴的多個數據庫。伺服器2030及數據庫可被代管於雲中、本地(on premise)或在此兩者中。
在一些示例中,一或更多客戶端裝置2020或伺服器2030執行一或更多應用程式,其處理安裝在工具上之相機所擷取的圖像。應用程式亦使用機器學習技術以基於從圖像中收集到的數據來訓練一或更多模型。此外,應用程式從工具及PM中的諸多感測器接收並分析數據。該等應用程式使用該等模型來分析從圖像及感測器收集到的數據、執行例如對工具及PM中出現問題的根本原因進行診斷及故障排除之諸多功能、調整PM中運行之製程等等。該等應用程式可被實施作為軟體即服務(SaaS)。
圖8C示出客戶端裝置2120-1的簡化示例。客戶端裝置2120-1通常可包括一或更多中央處理單元(CPU)、一或更多圖形處理單元(GPU)以及一或更多張量處理單元(TPU)(統稱為處理器2150)、一或更多輸入裝置2152(例如,小鍵盤、觸控板、滑鼠、觸控螢幕、偵測器或感測器,例如相機等)、包括顯示器2156之顯示子系統2154、網路介面2158、記憶體2160及大量儲存器2162 。
網路介面2158透過分佈式通訊系統2110將客戶端裝置2120-1連接至分佈式運算系統2000。例如,網路介面2158可包括有線介面(例如,乙太網、EtherCAT或RS-485介面)及/或無線介面(例如Wi-Fi、藍芽、近場通訊(NFC)或其他無線介面)。記憶體2160可包括揮發性或非揮發性記憶體、快取或其他類型的記憶體。大量儲存器2162可包括快閃記憶體、磁硬碟驅動機(HDD)及其他大量儲存器。
客戶端設備2120-1之處理器2150執行操作系統(OS)2164及一或更多客戶端應用程式2166。客戶端應用程式2166包括透過分佈式通訊系統2110訪問伺服器2130的應用程式。客戶端應用程式2166可包括由控制工具之系統電腦執行的應用程式。客戶端應用程式2166亦可包括處理安裝在工具上之相機所擷取之圖像的應用程式以及運行感知演算法(其是最簡單的人工神經網路類型)的應用程式。它是單個神經元的模型,其可用於兩類分類問題,並對大型機器學習演算法提供數據。
圖8D示出伺服器2130-1的簡化示例。伺服器2130-1通常包括一或更多CPU/GPU或處理器2170、網路介面2178、記憶體2180及大量儲存器2182。在一些實施方式中,伺服器2130-1可為通用伺服器,並可包括一或更多輸入裝置2172(例如,小鍵盤、觸控板、滑鼠等)以及包括顯示器2176之顯示子系統2174。
網路介面2178將伺服器2130-1連接至分佈式通訊系統2110。網路介面2178可包括有線介面(例如,乙太網或EtherCAT介面)及/或無線介面(例如Wi-Fi、藍芽、近場通訊(NFC)或其他無線介面)。記憶體2180可包括揮發性或非揮發性記憶體、快取或其他類型的記憶體。大量儲存器2182可包括快閃記憶體、一或更多磁硬碟驅動機(HDD)、或其他大量儲存裝置。
伺服器2130-1之處理器2170執行一或更多操作系統(OS)2184及一或更多伺服器應用程式2186,其可以被置於具有共用記憶體之虛擬機控制器(virtual machine hypervisor)或容器架構(containerized architecture)中。大量儲存器2182可儲存一或更多數據庫2188,其儲存伺服器應用程式2186用來執行相應功能之數據結構。伺服器應用程式2186可包括處理安裝在工具上之相機所擷取之圖像的應用程式、運行感知演算法之應用程式、使用機器學習技術基於從圖像收集到的數據來訓練一或更多模型之應用程式、以及從工具及PM中諸多感測器接收並分析數據之感測器融合應用程式。如下所解釋,僅有相機數據可能不足以確定工具中的問題。使用感測器融合應用程式,可將工具中其他感測器的數據合併相機數據一起使用,以確定問題的源頭細節或根本原因。伺服器應用程式2186可包括使用模型來分析從圖像及感測器收集到之數據的應用程式,以確定工具及PM中問題的根本原因,並使用此些數據作為工具之閉環自動化控制的反饋且調整在PM中運行的製程等等,如下詳述。
方塊圖
圖9A-9F示出相機安裝在工具中諸多位置處的示例。在圖9A中,僅作為示例,相機2200係佈設(朝下)於氣室蓋2204之觀察埠2202上。蓋體2206環繞相機2200以阻擋外部光。 圖9B示出蓋體2206安裝在相機2200周圍。此外,一組用以容置緊固件之母座2208佈設於氣室蓋2204上,用於在維修期間將蓋堆疊在另一者上。圖9C示出另一相機2210,其可佈設於裝載室之一側(其一部分示於2214處)的觀察埠2212上。圖9D示出用於安裝相機2210之安裝組件2216。相機2210可用作相機2200的替代或與其組合。
圖9E及9F示意性地示出相機2220,其安裝在具有內建對準器之機器人2222上作為工具中相機放置之另一示例。圖9E示出具有內建對準器之機器人2222的側視圖。相機2220可朝下放置在對準器頭上,如圖9F所示。
應該理解的是,一或更多相機可佈設於工具中晶圓行進路徑中的任何位置。應進一步理解的是,此些相機並非通常位於鄰近PM以監測PM內部之相機。此些相機不與整合在工具或在工具外部之任何計量設備相關聯。
圖10A示出位於工具之裝載室中的構件(由相機2200監測)示意圖。畫出垂直虛線以表示僅示出構件的右側,而構件之對稱複型存在於虛線的左側。氣室指2300、2302分別包括指墊2304、2306。晶圓2308由機器人運送至裝載室中並留置於在指墊2304上。機器人之末端執行器2310具有墊2312。邊緣耦合環2314留置於指2300上的墊2316上。
位於氣室蓋上之相機2200擷取晶圓2308及邊緣耦合環2314的圖像(照片)。當晶圓2308不存在於裝載室中時,相機2200亦擷取墊2304、2306、2312、2316的圖像。例如,除了擷取晶圓2308及邊緣耦合環2314表面圖像之外,相機2200亦擷取晶圓2308之外徑(OD)、邊緣耦合環2314之內徑(ID)、 機器人之末端執行器2310及墊2304、2306、2312、2316的圖像。
圖10B示出用於擷取圖10A中所示之構件三維圖像的相機佈設。對於需三維圖像(例如,以獲取邊緣耦合環之完整3D圖像)的立體應用,則需一或更多相機來獲取深度資訊。包括至少兩相機(例如,相機2200及2201)之佈置的示例(如所示)提供了獲取用於此等立體應用之完整3D圖像所需的深度資訊。在另一實施方式中,僅用一個相機之立體圖像處理可用於建立邊緣耦合環之厚度輪廓的3D點雲,如下參考圖18所述。
圖11示出根據某些實施例之電腦視覺系統2400,其處理由安裝在工具2404(例如,圖1-6C中所示之任何工具)中之一或更多相機2402(例如,圖9A-10B所示之元件2200、2201及2210)所擷取的圖像。儘管僅為了示例而只示出一個工具,但電腦視覺系統2400包括類似於工具2404之複數工具。相機2402擷取一或更多工具構件2406的圖像,例如以上參考圖10A及10B所述之彼者。在工具2404呈黑暗的區域中(例如裝載室),光源2407係佈設成照射工具構件2406,使得相機2402可擷取其圖像。儘管僅示出一個光源2407為例,但可使用諸多類型的光源及具有不同波長之照明配置。此外,儘管未示出,但工具2404在晶圓於處理期間所行進的路徑中包括一或更多感測器。
圖像處理器2408處理相機2402所擷取之圖像。例如,圖像處理器2408可清晰化及/或格式化圖像。例如,圖像處理器2408可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣檢測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵檢測、深度估計 等等。圖像處理器2408可位於(例於嵌於)相機2402上,或者可在相機2402外部(例如,在PCB上)。
系統電腦2410控制工具2404。在一實施方式中,原始圖像可被發送至遠端數據中心2412以進行處理及/或分析。可替代地,在另一實施方式中,圖像由圖像處理器2408處理,圖像處理器2408可位於相機2402中或相機2402外部的PCB上,且僅一些經分析數據被發送至系統電腦2410及/或遠端數據中心2412。系統電腦2410可透過圖8B-8D所示之分佈式通訊系統2010而即時或成批地將圖像發送至遠端數據中心2412。另外,系統電腦2410可將從工具2404中其他感測器及工具2404之PM中感測器收集到的數據發送至遠端數據中心2412。在一些實施方式中,系統電腦2410可包括邊緣運算裝置。
例如,遠端數據中心2412可包括圖8B-8D所示之複數伺服器2030。在遠端數據中心2412處,使用機器學習,基於從該複數工具(例如工具2404)收集到的數據來訓練數個模型。模型之訓練及功能於下詳細敘述。使用從遠端數據中心2412接收到的經訓練模型,系統電腦2410基於從新圖像收集到的數據來操作工具2404,如下所述。
在一些實施例中,系統電腦2410在工具2404上執行經訓練模型所建議之諸多操作。例如,該等操作包括執行預防性維護(例如,改變參考圖10A及10B所述之一或更多墊、改變CWAC晶圓、執行機器人維護等)。系統電腦2410透過辨識晶圓上晶粒圖紋,將工具2404的健康關聯至進入晶圓的類型(邏輯/記憶體/3D NAND等)及用於工具2404之PM中的配方類型,如下所述。
例如,此資訊可用於確定基於所用配方及進入晶圓類型可使用濕式清潔、無晶圓自動清潔(WAC)、覆蓋晶圓區域清潔(CWAC)程序之最佳時間段。例如,此資訊可用於基於進入晶圓類型而透過調整升降銷來微調吸盤上方邊緣耦合環的高度。例如,對於進入及離開晶圓之RGB相機上不同色頻的灰度值分佈的變化可用於量化晶圓上製程結果的品質。測量此些量並監測其隨時間的漂移可用於辨識工具健康並執行校正措施以解決此等漂移並達成PM匹配。
在一些實施例中,系統電腦2410執行由經訓練模型建議之諸多適應(adaptation)。例如,系統電腦2410監測氣室中晶圓放置的準確度,並在機器人將新晶圓放置在氣室中時即時校正(若有的話)。系統電腦2410基於進入及離開邊緣耦合環之厚度的減小來自動調整用於PM中的配方。系統電腦2410基於偵測到的內徑變化(即,邊緣腐蝕輪廓的變化)或進入/離開邊緣耦合環的厚度來確定PM中的蝕刻速率。系統電腦2410使用此資訊來自動調整PM中的配方,以用於工具間PM匹配,或者透過調整升降銷來調整吸盤上方邊緣耦合環的高度。現在詳細描述電腦視覺系統2400基於相機2402所擷取之圖像而執行的此些及其他功能。
在整篇本揭示內容中,若晶圓尚未處理,且該晶圓來自EFEM並將進入VTM,則該晶圓稱為進入晶圓;若晶圓已在PM中處理並將離開VTM移向EFEM,則該晶圓稱為離開晶圓。
使用時,以上參考圖10A及圖10B所示及所述之諸多墊的形狀隨時間變化。相機2402對工具2404中所處理之每個晶圓擷取此些墊的圖像。根據隨時間所擷取(在相機2402、相機2402附近之PCB上的圖像處理器2408、系統電腦2410或數據中心2412處執行)之此些圖像的分析(例如,相關性),電腦視覺系統2400可偵測墊是否髒污、是否需清潔或更換。電腦視覺系統2400監測此些墊上的磨損,且從其隨時間變化的圖像中學習,並訓練模型(在數據中心2412)以預測此些墊的維修及/或更換。電腦視覺系統2400繼續從每一圖像學習更多關於此些墊上之磨損,並且精進模型以改善預測。此些預測可改善此些墊的維修及/或更換程序,其可降低成本並改善工具的效率。
例如,若電腦視覺系統2400確定機器人末端執行器墊需清潔,則可展開自動化程序,其中將末端執行器放置在位於裝載室之頂板上的裝載室沖洗埠下方。氣體(例如N2
)以高流速從沖洗埠吹向末端執行器,其可從機器人末端執行器及墊上除去顆粒。應知悉的是,此程序以閉環方式完全自動化機器人末端執行器的清潔。
CWAC晶圓隨時間改變顏色。在一些實施例中,電腦視覺系統2400亦配置成擷取此些晶圓的圖像。電腦視覺系統2400監測此些晶圓的顏色變化,且從其隨時間變化的圖像中學習,並訓練模型(在數據中心2412)以預測此些晶圓之更換時間。電腦視覺系統2400繼續從每一圖像學習更多關於此些晶圓的顏色變化,並精進模型以改善預測。此些預測可改善此些晶圓的更換程序,其可降低成本並改善工具的效率。
在一些實施例中,電腦視覺系統2400觀察每一進入及離開晶圓的外邊緣。隨時間之晶圓邊緣漂移可表示ATM/VTM機器人有問題。然而,該問題可能因升降銷、夾持力等等問題使VTM機器人未正確地從PM拾取晶圓而發生。例如,在具有六個PM的工具中,透過VTM機器人從五個PM移出的晶圓可能會如監測晶圓邊緣所示地正確放置在裝載室中,而由同一VTM從一PM移出的晶圓可能在放置於裝載室時會稍微偏移。從電腦視覺系統2400所擷取之圖像的分析中而獲得的此資訊可幫助辨識偏移源頭,其可能是由於一PM中的升降銷,而不是由於VTM機器人有任何問題。
工具2404中之動態對準感測器亦監測晶圓放置。透過相機2402對晶圓邊緣所收集到的數據可透過感測器融合演算法與來自動態對準(DA)感測器的數據一起使用,以更佳地辨識問題的源頭。來自此些感測器之數據亦有助於解決工具2404中的問題。此外,在工具2404之此等組件上執行若干校準程序,使得基於感測器數據之故障排除可具準確性,而不導致錯誤警報。從圖像所擷取之數據可輔助來自工具2404中此些感測器與其他感測器以及來自校準及故障排除程序的數據,並可助於指出問題的根本原因。例如,晶圓從PM行進到工具2404中裝載室之路徑中的許多感測器及致動器可提供數據,其可關聯至圖像所擷取之數據。基於該相關性,可辨識導致該問題之確切感測器或致動器。
在一些實施例中,電腦視覺系統2400可訓練模型,以辨識工具2404所處理之每一晶圓的類型。可使用製造設施中之幾個工具(例如,參見圖2),在不同晶圓上製造不同裝置(例如,記憶體、處理器等)。了解晶圓的類型可助於辨識工具中的問題。晶圓的類型可透過處理晶圓的圖像來識別(例如,晶圓是記憶體晶圓、處理器晶圓等)。例如,晶圓的圖像可顯示晶粒圖紋,包括具有不同形狀(例如,正方形、矩形等)、不同尺寸、不同顏色等等的特徵。可透過物件偵測演算法找出晶圓上的晶粒圖紋,且對其進行監測及學習,並可使用一段時間內所擷取之晶圓圖像來訓練模型以辨識每一晶圓的類型。
隨後,使用經訓練模型,可基於從彼些晶圓之圖像所收集到的數據並將數據關聯至經學習的晶粒圖紋,來偵測來自PM或工具之一者之晶圓上晶粒圖紋的任何偏差。此外,使用經學習的圖紋(即,使用經訓練模型),連同從晶圓及/或其他(例如,基於感測器的)診斷系統之圖像收集到的其他數據,即可辨識特定工具中特定PM的問題及/或PM或工具中特定構件的問題。
例如,經常使用配方來調節(例如清潔)PM。 在執行配方時,使用非生產晶圓(例如,虛擬晶圓或調節晶圓)。虛擬晶圓沒有任何晶粒圖紋。發送虛擬晶以通過與生產晶圓相同之晶圓流及製程配方。現今系統無法區別此些虛擬晶圓與生產晶圓。電腦視覺系統2400(其可基於晶圓上之晶粒圖紋來辨識晶圓的類型)可區分此些虛擬晶圓與生產晶片,並在處理開始之前得知晶圓的類型。又,可進一步訓練用於辨識晶圓類型之同一模型,並用於也辨識虛擬晶圓。
在一些工具中,感測器可用於確定晶圓是否具有晶粒圖紋。然而,在此些工具中,感測器在製程的終點處偵測晶粒圖紋。若偵測到的晶粒圖紋與為其設定的配方不同,則表示配方錯誤,並觸發相應的校正程序。當因虛擬晶圓上不存在晶粒圖紋而被誤判為缺陷晶圓時,此等錯誤實際上可能不是錯誤,而可能不必要地觸發配方校正。可基於得知電腦視覺系統2400所提供之晶圓類型及晶圓分類來自動防止此等錯誤。
例如,使用電腦視覺系統2400所訓練的模型,可將進入晶圓的圖像用於辨識晶圓的類型,且可自動向工具2404中之PM通知關於進入晶圓的類型。PM可接著自動選擇合適的配方及/或對其調整以處理進入晶圓。當晶圓被處理並離開工具2404時,再次擷取離開晶圓的圖像,並將其與經過類似處理之晶圓先前擷取圖像的數據庫進行比較,以確認離開晶圓是否被正確處理。例如,數據庫可保留在數據中心2412、電腦系統2410、或者至少部分地在數據中心2412與電腦系統2410兩者處。
例如,取決於處理之類型及階段,簡單的指示(例如,進入與離開晶圓的顏色)可指示離開晶圓的類型、將被PM選擇以處理進入晶圓之配方的類型 、以及處理是否成功。在其他示例中,用於辨識晶圓類型並確定晶圓是否被成功處理之指示亦可包括不同像素處之晶圓圖像的灰度值(光強度)、晶圓上的特徵、晶圓上某些特徵之尺寸及顏色等等。
基於隨時間所擷取之若干晶圓的圖像,可觀察到許多晶圓類別並將其儲存在數據庫中。對新晶圓的圖像與來自多晶圓類別的圖像進行比較可改善對晶圓類型及經處理(及待處理)晶圓之微小變化的偵測。可基於隨時間所擷取之每一晶圓類型的若干圖像,隨時間確定例如晶圓某些區域之尺寸及顏色的特徵。可進一步訓練用於分類晶圓類型之模型,以接收提取自進入或離開晶圓之特徵,並基於接收到的特徵與透過觀察許多晶圓而隨時間建立之特徵數據庫的比較來輸出晶圓類型 。該數據庫可保留於數據中心2412、電腦系統2410或至少部分地在兩者上。
例如,晶圓之分類可基於晶圓上所觀察到之特徵的類型。當晶圓到達相機2402之視野時,無論是在處理之前或是之後,皆擷取晶圓的圖像,從圖像中提取一或更多特徵,比較該等特徵並關聯至儲存在數據庫中之特徵, 並可確定晶圓的類型及/或在晶圓上所執行之處理為成功或失敗。可使用類似方法,以選擇配方來處理經辨識的晶圓。顯然,所有此些操作均可使用電腦視覺系統2400所訓練的模型來自動執行(即,無需人工干預)。
注意,不僅電腦視覺系統2400使用氣室中之相機來擷取晶圓的圖像,電腦視覺系統2400亦可結合位於工具2404中之許多其他系統(例如,感測器、與PM相關聯之相機)所收集到的其他數據進行操作。為了電腦視覺系統2400具可靠性,許多因素(例如照明、相機方向及整平等等)需為相對恆定。為了允許此些因素有任何變化(其有時是無法避免的),電腦視覺系統2400所收集到的數據可使用此些其他系統所收集到的數據進行歸一化,以最小化或消除此些因素之差異的影響。
以此方式,電腦視覺系統2400不僅辨識進入晶圓的類型,還驗證離開晶圓是否被適當地處理。此外,若因工具2404中某處的故障導致離開晶圓未被適當地處理,則電腦視覺系統2400可使用歷史標記數據所訓練的模型來辨識故障的根本原因。再者,電腦視覺系統2400可(例如,基於觀察墊、晶圓等)防止發生問題(例如,建議更換部件、預防性維護等),且亦可透過指出問題的根本原因來建議校正操作。
一些工具包括整合式計量模組,其在處理之前及之後分析晶圓。然而,電腦視覺系統2400不僅在處理之前及之後(即,在兩製程之間)分析晶圓(其消除了計量需要),還分析晶圓傳送機構(機器人、墊、相關感測器等)以及PM本身的性能,其是計量模組無法執行的。電腦視覺系統2400使用來自晶圓及其他構件(例如諸多墊)之擷取圖像的數據並使用來自其他機構(例如工具及PM中的感測器)之操作及診斷數據來執行此些功能,其提高工具(即工具中之傳送機構)及PM的性能。
相機2402可放置在工具2404中的其他位置。此些相機2402是分開的且是除了放置在PM附近之任何相機及計量設備所使用之彼者(無論其是整合在工具2404中或是在工具2404外部)以外額外有的。此外,相機2402可放置於整個工具機群的工具中。此可擴大所擷取之圖像的數據庫,其可改善基於圖像數據而在數據中心2412處建立之模型的訓練,並可使模型更加強大。強大的模型可以增加的統計信賴度(statistical confidence)來執行電腦視覺系統2400的功能,其可改善PM、工具及工具機群之整體性能。
電腦視覺系統2400亦可協助PM匹配。在處理一定數量的晶圓後,應定期清潔PM。然而,不同之進入晶圓類型可有經除氣之不同材料進入PM中。另外,在PM中對晶圓執行之不同製程在PM中留下不同副產物,其需要被清除。對於所有進入晶圓類型使用相同的清潔配方可能無法充分清潔腔室以處理下一個進入晶圓。電腦視覺系統2400基於所擷取之離開晶圓的圖像而得知PM中所執行的處理。電腦視覺系統2400可利用在PM上執行之清潔製程的過去數據,並可幫助PM選擇用於清潔PM之合適配方,使得PM處於得以處理下一個進入晶圓的狀態。
由於在工具2404中拍攝每一晶圓,且模型在數據中心2412處不斷精進,故工具2404可基於電腦視覺系統2400收集到的數據而被最佳化。例如,可使工具2404中PM之清潔循環次數最佳化。例如,可基於在該PM中處理之離開晶圓的圖像,推遲或延遲工具2404中PM之清潔,儘管其是工具2404中之另一機構所建議的。顯然,此些圖像為即時可用的,並透過電腦視覺系統2400即時或近乎即時地分析。據此,可快速地執行工具2404的最佳化。此等最佳化無法使用傳統計量來執行,傳統計量會需要數小時或數天才能提供結果。使用電腦視覺系統2400,可在數據中心2412處訓練模型,以接收在PM中處理之離開晶圓的圖像作為輸入,並提供控制何時可在PM中執行清潔之輸出。可知悉的是,該程序以閉環方式透過WAC或CWAC完全自動執行PM清潔。
作為另一示例,可增加工具2404中一或更多機器人的速度,直到基於晶圓之圖像觀察到性能略下降為止。換言之,工具2404的產量可在不執行計量下即時地或近乎即時地自動增加。此外,製程之間的晶圓等待時間可基於最近的圖像數據來最佳化。因此,電腦視覺系統2400可使用非計量光學件(即,不執行晶圓之光譜分析,並以執行晶圓或晶圓一部分之圖像的光學分析來取代)來提供優於計量級的性能。顯然,電腦視覺系統2400不僅比計量法更快,亦比計量法較不昂貴。
電腦視覺系統2400亦可分析邊緣耦合環。邊緣耦合環通常是從EFEM傳送至氣室再到PM,邊緣耦合環在PM中放置於ESC周圍。邊緣耦合環具有特定的厚度、內徑及輪廓。邊緣耦合環之此些及其他參數係透過在邊緣耦合環被傳送至PM之前擷取邊緣耦合環的圖像來進行觀察。在PM中,邊緣耦合環暴露於晶圓上所執行的處理。 因此,邊緣耦合環之一或更多參數可能改變。邊緣耦合環係設計用於一定RF小時數。類似的邊緣耦合環可用於在類似持續時間(即,RF小時數)中執行類似製程的PM中。
隨後,當邊緣耦合環被徹底使用時,其透過裝載室從工具中移出。擷取來自此些PM之離開邊緣耦合環的圖像,並透過電腦視覺系統2400測量並關聯幾何參數。例如,一個邊緣耦合環可呈現出比其他者更多的變化(例如,圖像中不同的灰度值,表示相對於其他者有不同表面粗糙度)。該一邊緣耦合環中的變化係可選地連同其他數據(例如,來自工具2404中之其他感測器)一起進行分析,以辨識變化的根本原因或控制PM之操作條件,來達到最佳之晶圓上製程品質或PM匹配。
例如,基於對變化的分析,其指示PM中之一者操作不同(即,在邊緣耦合環上產生不同的影響),儘管在該PM中是以相同RF小時數執行與其他PM中相同的製程。在該PM中執行之製程的配方可使用電腦視覺系統2400所訓練的模型來調整。可替代地,邊緣耦合環在該PM中的定位(高度、傾斜角等)可使用電腦視覺系統2400所訓練的模型來調整。此外,不同的PM可使用具有不同參數的邊緣耦合環。對進入邊緣耦合環的分析亦可用於確認該PM中是否使用正確類型的邊緣耦合環。
使用電腦視覺系統2400,可訓練不同的模型以執行此些不同的功能。充分訓練後,此些模型可接收邊緣耦合環的圖像作為輸入,並可提供輸出,例如將對配方做的調整、將對邊緣耦合環之升降銷的高度做的調整等等。進行中的訓練使此些模型的輸出精進(即改善其準確性)。可知悉的是,此等系統允許透過邊緣耦合環高度測量及控制,遂使工具的自動化控制以達PM匹配。
邊緣耦合環亦可透過從其圖像擷取其序號來追踪。通常,一邊緣耦合環將用於一特定PM類型中。即,邊緣耦合環可能不會移跨PM類型。對邊緣耦合環序號的監測可用於驗證各個PM中是否使用正確的邊緣耦合環。此確定亦可助於阻絕可能由於邊緣耦合環之切換而引起的一些問題,並確保不會因感測器故障、配方不當等出現問題。序號追踪亦可幫助確定邊緣耦合環是否由第三方供應,其亦可能是某些問題的原因。模型可在接收到邊緣耦合環的圖像作為輸入時即進行訓練,以輸出邊緣耦合環的序號,其可用於故障排除。
此外,在PM中執行清潔之後,見證晶圓(witness wafer)可在邊緣耦合環之後發送至PM。透過在晶圓處理之前及之後擷取見證晶圓的圖像,即可自動檢測PM中所執行的清潔品質。在邊緣耦合環使用一段時間後,可透過使用電腦視覺系統2400分析邊緣耦合環的圖像來對PM進行類似檢測。又,可訓練模型,以基於邊緣耦合環之圖像(作為模型的輸入)辨識該處理對邊緣耦合環的影響,並提供指示PM中所執行之清潔品質的輸出或建議何時及何種類型之清潔可在PM中執行的輸出。
此外,如下詳細解釋,PM中之邊緣耦合環通常可在PM中兩次連續清潔操作之間的時間段期間以及在PM中對晶圓本身進行處理期間來調整。檢測晶圓處理後之邊緣耦合環的圖像,連同關於在PM中對晶圓進行處理期間對邊緣耦合環進行調整之數據,即可提供PM健康的指示。 模型可
在接收到邊緣耦合環之圖像作為輸入時即進行訓練,以輸出PM健康之指示。
透過參考圖8A、10B及11詳細解釋此程序。一些邊緣耦合環可更好地控制蝕刻PM內的電漿場,以達到最佳晶圓上製程結果。此些邊緣耦合環可透過傳送模組(TM)自動從EFEM傳送至PM,其可達成長時間(例如,長達一年)連續生產而無需打開PM。然而,由於工具內部或不同工具之間的PM狀況不同,故晶圓上的結果並非總是類似。
為達到PM間匹配,需要有技術來測量不同工具之間的蝕刻速率、監測其隨時間的漂移、記錄其在維護(例如,濕式清潔、CWAC)後的變化、以及建立程序以自動調整PM之蝕刻製程參數(例如配方、ESC溫度、氣體流量、時間段等),以校正此等變化。本文概述一項新技術,其允許自動測量入站/出站(新的/使用過的)邊緣耦合環參數及用於自動化PM間匹配之相應系統(參見圖8A、10B及11)。該系統測量進入PM之新邊緣耦合環與在其壽命期限時離開PM之相同邊緣耦合環之間的厚度變化。該系統亦自動調整PM之製程參數以達PM間匹配。
該系統包括兩個相機,其放置在TM中新的/使用過的邊緣耦合環將穿過的位置(例如,氣室、對準器、機器人等)。此得以精確測量使用過之邊緣耦合環的厚度減小。得知邊緣耦合環的使用歷史(例如,邊緣耦合環已使用的RF小時數)及其厚度減小,即可確定PM之腐蝕速率。圖10A示意性示出氣室中的相機是如何地放置於邊緣耦合環上方。圖8A及11示出用於自動調整PM製程參數以達PM間匹配之總體數據流基礎建構。
根據某些實施例,相機測量邊緣耦合環之厚度的變化並將其發送至系統電腦。系統電腦儲存前1-3個月的數據,並將數據即時發送至主機/雲(例如,數據中心)。主機包括基礎建構(例如伺服器、儲存器等)大數據分析。主機根據進入/離開邊緣耦合環之間的厚度變化來確定PM的蝕刻速率。主機使用每天/每週運行相同配方之所有類似PM的數據(數據科學基礎建構)來訓練基於機器學習(例如,神經網路、Tensor流等)之模型。主機使用經訓練的模型及即時數據來建議對PM製程參數進行調整,以達PM間匹配。系統電腦在PM上執行建議操作,以自動調整PM製程參數而達PM間匹配。
一般而言,工具包括可使用電腦視覺系統2400進行監測(觀察)之數個控制參數。基於關於此些參數之觀得數據,電腦視覺系統2400可訓練模型以確定工具2404中所採用之自動製程控制迴路是否正確地操作。因此,電腦視覺系統2400可使用經訓練的模型來自動管理機群中工具的許多構件。例如,電腦視覺系統2400可使用經訓練的模型來自動調整邊緣耦合環的高度、CWAC持續時間及間隔、機器人末端執行器清潔等等,其改善整體性能、效率、產量、及工具的壽命。
流程圖及模型
圖12A-13F示出圖11所示之伺服器2030及系統電腦2410所執行之諸多方法的示例。圖12A-12F示出伺服器2030所執行的方法,以基於擷取自諸多工具之圖像並使用感知、感測器融合及機器學習演算法來訓練諸多模型。圖13A-13F示出伺服器2030及系統電腦2410所執行之方法,其基於即時 (即,快速地或在生產期間使用工具以處理晶圓時)擷取的圖像並使用訓練過的模型。
圖12A示出根據一些實施例從諸多工具擷取晶圓、諸多墊及邊緣耦合環之圖像並基於所擷取之圖像而使用感知、感測器融合及機器學習演算法來訓練諸多模型的方法2500。在2502,方法2500在例如裝載室之位置處擷取晶圓、邊緣耦合環及諸多墊的圖像。在2504,方法2500處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,方法2500可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換,特徵偵測、深度估計等等。此外,可從擷取之圖像中辨識並提取可用以確定晶圓類型、確定邊緣耦合環之狀況、確定諸多墊之狀況的特徵,並可將其儲存在一或更多數據庫中。
在2506,該方法2500使用感知、感測器融合及機器學習演算法,基於隨時間從處理過之圖像中所收集到的數據,來訓練諸多模型以執行特定任務。例如,任務可包括但不限於確定晶圓類型、確定邊緣耦合環之狀況、確定諸多墊之狀況、確定預防性維護及清潔排程、確定例如墊及調節晶圓之構件的更換排程、確定蝕刻速率、調整PM配方等等。在2508,該方法2500持續使用經訓練的模型並基於所擷取且處理的圖像,繼續精進(即,進一步訓練)此些模型。
圖12B示出根據某些實施例訓練模型以偵測墊磨損並預測裝載室中之墊更換的方法2520。在2522,該方法2520擷取裝載室中之晶圓墊、邊緣耦合環墊及機器人末端執行器墊的圖像。在2524,該方法2520處理圖像。例如,圖像可被清晰化及格式化。此外,可調控圖像的亮度、對比度等等。例如,該方法2520可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。此外,從擷取之圖像中辨識並提取可用以確定諸多墊之狀況的特徵,並可將其儲存在一或更多數據庫中。
在2526,該方法2520訓練模型,以確定諸多墊的狀況、確定預防性維護排程以及確定諸多墊之更換或自動清潔排程。例如,若該方法2520辨識出機器人末端執行器墊需清潔,則展開自動化程序,其中末端執行器被放置在位於裝載室頂板上之裝載室沖洗埠下方。氣體(例如N2
)以高流速從沖洗埠吹向末端執行器,其可從機器人末端執行器及墊上除去顆粒。應知悉的是,此程序以閉環方式完全自動化機器人末端執行器的清潔。在2528,該方法2520持續使用經訓練的模型並基於所擷取且處理的圖像,繼續精進(即,進一步訓練)該模型。
圖12C示出根據某些實施例訓練模型以偵測裝載室中晶圓放置的漂移並預測機器人健康及預防性維護排程的方法2540。在2542,該方法2540擷取裝載室中晶圓邊緣的圖像。在2544,該方法2540處理圖像。 例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2540可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,從擷取之圖像中辨識並提取可用以確定裝載室中晶圓放置之漂移的特徵,並可將其儲存在一或更多數據庫中。在2546,該方法2540訓練模型,以偵測裝載室中晶圓放置之漂移並預測機器人健康及預防性維護排程。在2548,該方法2540持續使用經訓練的模型並基於所擷取且處理的圖像,繼續精進(即,進一步訓練)該模型。
圖12D示出根據某些實施例用於訓練模型以偵測晶圓上晶粒圖紋並依此來確定晶圓之類型的方法2560。在2562,該方法2560擷取裝載室中晶圓的圖像。在2564,該方法2560處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2560可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,從擷取之圖像中辨識並提取可用以偵測晶圓上晶粒圖紋並依此確定晶圓類型的特徵,並可將其儲存在一或更多數據庫中。在2566,該方法2560訓練模型,以偵測晶圓上晶粒圖紋並依此確定晶圓類型。在2568,該方法2560持續使用經訓練的模型並基於所擷取且處理的圖像,繼續精進(即,進一步訓練)該模型。
圖12E示出根據某些實施例用於訓練模型以偵測調節晶圓之顏色變化並預測調節晶圓之更換時間的方法2570。在2572,該方法2570擷取裝載室中晶圓邊緣的圖像。在2574,該方法2570處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2570可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以偵測調節晶圓之顏色變化並預測調節晶圓之更換時間的特徵,並可將其儲存在一或更多數據庫中。在2576,該方法2570訓練模型,以偵測調節晶圓之顏色變化並預測調節晶圓之更換時間。在2578,該方法2570持續使用經訓練的模型並基於所擷取且處理的圖像,繼續精進(即,進一步訓練)該模型。
圖12F示出根據某些實施例訓練模型以偵測邊緣耦合環之狀況、確定PM中之蝕刻速率以及調整PM中之配方以達工具間匹配的方法2580。在2584,該方法2580處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2580可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以偵測邊緣耦合環之狀況、確定PM中之蝕刻速率以及調整PM中的配方以達工具間匹配的特徵,並可將其儲存在一或更多數據庫中。在2586,該方法2580訓練模型,以偵測邊緣耦合環的狀況、確定PM中的蝕刻速率以及調整PM中的配方以達工具間匹配。在2588,該方法2580持續使用經訓練的模型並基於所擷取且處理的圖像,繼續精進(即,進一步訓練)該模型。
圖13A示出示例性方法2600,用於從諸多工具擷取晶圓、諸多墊及邊緣耦合環的圖像,並使用經訓練的模型,確定晶圓類型、確定邊緣耦合環的狀況、確定諸多墊的狀況、確定預防性維護排程、確定構件(例如墊及調節晶圓)的更換排程、確定蝕刻速率、調整PM配方等等。在2602,該方法2600在例如裝載室之位置處擷取晶圓、邊緣耦合環及諸多墊的圖像。
在2604,該方法2600處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2600可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。此外,可從擷取之圖像中辨識並提取可用以確定晶圓類型、確定邊緣耦合環之狀況、確定諸多墊之狀況的特徵。
在2606,使用諸多經訓練的模型,該方法2600基於從圖像收集到的數據來執行諸多任務。例如,任務可能包括但不限於確定晶圓類型、確定邊緣耦合環的狀況、確定諸多墊的狀況、 確定預防性維護排程、確定構件(例如墊及調節晶圓)的更換排程、確定蝕刻速率、調整PM配方等等。在2608,該方法2600使用經訓練的模型並基於從所擷取且處理之圖像收集到的數據來更新(即,進一步訓練)此些經訓練的模型。
圖13B示出示例性方法2620,用於使用經訓練的模型來偵測裝載室中之墊磨損並預測墊更換(如參考圖12B所述)。在2622,該方法2620擷取裝載室中晶圓墊、邊緣耦合環墊及機器人末端執行器墊的圖像。在2624,該方法2620處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2620可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以確定諸多墊之狀況的特徵。在2626,使用經訓練的模型,該方法2620確定諸多墊的狀況、確定預防性維護排程、並確定諸多墊的更換排程。在2628,該方法2620使用經訓練的模型並基於從所擷取且處理之圖像收集到的數據來更新(即,進一步訓練)該經訓練的模型。
圖13C示出示例性方法2640,用於使用經訓練的模型來偵測裝載室中晶圓放置之漂移並預測機器人健康及預防性維護排程(如參考圖12C所述)。在2642,該方法2640擷取裝載室中晶圓邊緣的圖像。在2644,該方法2640處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2640可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以確定裝載室中晶圓放置之漂移的特徵。在2646,使用經訓練的模型,該方法2640偵測裝載室中晶圓放置之漂移並預測機器人健康及預防性維護排程。在2648,該方法2640使用經訓練的模型並基於從所擷取且處理之圖像收集到的數據來更新(即,進一步訓練)該經訓練的模型。
圖13D示出示例性方法2660,用於使用經訓練的模型來偵測晶圓上晶粒圖紋並依此確定晶圓的類型(如參考圖12D所述)。在2662,該方法2660擷取裝載室中晶圓的圖像。在2664,該方法2660處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2660可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以偵測晶圓上晶粒圖紋並依此確定晶圓的類型的特徵。在2666,使用經訓練的模型,該方法2660偵測晶圓上晶粒圖紋並依此確定晶圓的類型。在2668,該方法2660使用經訓練的模型並基於從所擷取且處理之圖像收集到的數據來更新(即,進一步訓練)該經訓練的模型。
圖13E示出示例性方法2670,用於使用經訓練的模型來偵測調節晶圓的顏色變化並預測調節晶圓的更換時間(如參考圖12E所述)。在2672,該方法2670擷取裝載室中調節晶圓的圖像。在2674,該方法2670處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2670可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以偵測調節晶圓的顏色變化並預測調節晶圓的更換時間的特徵。在2676,使用經訓練的模型,該方法2670偵測調節晶圓的顏色變化並預測調節晶圓的更換時間。在2678,該方法2670使用經訓練的模型並基於從所擷取且處理之圖像收集到的數據來更新(即,進一步訓練)該經訓練的模型。
圖13F示出示例性方法2680,用於使用經訓練的模型來偵測邊緣耦合環的狀況、確定PM中的蝕刻速率、以及調整PM中的配方以達工具間匹配(如參考 圖12F所述)。在2682,該方法2680擷取裝載室中邊緣耦合環的圖像。在2684,該方法2680處理圖像。例如,圖像可被清晰化及格式化。此外,可調整圖像的亮度、對比度等。例如,該方法2680可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
此外,可從擷取之圖像中辨識並提取可用以偵測邊緣耦合環之狀況、確定PM中之蝕刻速率、以及調整PM中之配方以達工具間匹配的特徵。在2686,使用經訓練的模型,該方法2680偵測邊緣耦合環的狀況、確定PM中的蝕刻速率、以及調整PM中的配方以達工具間匹配。在2688,該方法2680使用經訓練的模型並基於從所擷取且處理之圖像收集到的數據來更新(即,進一步訓練)該經訓練的模型。
額外模型
圖14A-14I示出伺服器2030及系統電腦2410使用經訓練的模型以基於即時 (即,快速地或在生產期間使用工具以處理晶圓時)擷取的圖像而執行之方法的諸多額外示例。此些方法所使用之模型可使用電腦視覺系統2400進行訓練,並可用於執行諸多操作。此些是非限制性示例。設想其他使用例。
在此些示例中, 使用從圖像收集到的數據以執行所述功能並使用感知、感測器融合及機器學習演算法之各個模型的訓練(包括經訓練模型之持續更新)類似於以上參考圖12A-12F所述之模型的訓練。因此,下述方法中所使用之模型的訓練是推定的且不再敘述以求簡潔。使用時,類似於參考圖13A-13F所述之模型,此些模型從圖像接收數據作為輸入並輸出結果,例如排程維修、更換等等。
圖14A示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法來訓練)以排程裝載室中諸多墊之維護的方法2700。在2702,該方法2700擷取並處理墊 (例如,與晶圓、邊緣耦合環或將晶圓移入並移出裝載室之機器人末端執行器相關聯之墊)的圖像。例如,該方法2700可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。從經處理的圖像中提取例如對診斷墊健康有用之特徵的數據,並將其輸入至訓練用於診斷墊健康之模型中。
在2704,基於數據,該方法2700使用經訓練的模型來確定墊是否髒污。在2706,若墊為髒污,則該方法2700使用經訓練的模型來排程墊的清潔。在2708,若墊不髒污,則該方法2700使用經訓練的模型來確定墊是否磨損。在2710,若墊磨損,則該方法2700使用經訓練的模型來排程墊之更換。在2712,若墊既不髒也未磨損,則該方法2700使用經訓練的模型來預測墊之自動清潔及更換排程。
例如,若該方法2700確定機器人末端執行器墊需清潔,則展開自動化程序,其中末端執行器被放置在位於裝載室頂板上之裝載室沖洗埠下方。氣體(例如N2
)以高流速從沖洗埠吹向末端執行器,其可從機器人末端執行器及墊上除去顆粒。應知悉的是,此程序以閉環方式完全自動化機器人末端執行器的清潔。
圖14B示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法來訓練)以診斷調節晶圓之健康及排程調節晶圓之更換的方法2720。在2722,該方法2720在調節晶圓運送至裝載室時擷取並處理調節晶圓的圖像。例如,該方法2720可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。從經處理的圖像中提取例如對診斷調節晶圓健康有用之特徵(例如顏色)的數據,並將其輸入至訓練用於診斷調節晶圓健康之模型中。
在2724,基於數據,該方法2720使用經訓練的模型來確定調節晶圓的顏色是否已改變以及顏色變化的程度。在2726,若顏色變化的程度大於預定閾值,則該方法2720使用經訓練的模型來排程調節晶圓的更換。在2728,若顏色變化未超過預定閾值,則該方法2720使用經訓練的模型來預測調節晶圓之更換排程。
圖14C示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法來訓練)以診斷將晶圓移進或移出裝載室之機器人的健康及排程對機器人或PM進行維修的方法2730。在2732,該方法2730在晶圓從PM運送至裝載室時擷取並處理晶圓邊緣的圖像。例如,該方法2730可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。從經處理的圖像中提取例如對偵測裝載室中晶圓位置漂移有用之特徵的數據,並將其輸入至訓練用於偵測該漂移的模型中。
在2734,基於數據,該方法2730使用經訓練的模型來確定晶圓的位置是否隨時間漂移。在2736,若晶圓的位置隨時間漂移,則該方法2730使用模型(利用感測器融合演算進行訓練)來確定是否從多於一個PM運送來之晶圓的位置漂移或僅來自一個PM之晶圓的位置漂移。動態對準(DA)感測器可用於感測器融合演算法中。DA感測器指示從PM中移出晶圓時,晶圓位於離機器人末端執行器中心有多遠。
在2738,若僅來自一個PM之晶圓的位置漂移,則該方法2730使用經訓練的模型來排程對該PM之維修,其乃由於來自該PM之該晶圓的位置是因該PM的問題而非因機器人的問題而出現漂移。在2740,若來自超過一個PM之晶圓的位置漂移,則該方法2730使用經訓練的模型排程對機器人的維修,其乃由於來自超過一個PM之晶圓的位置是因機器人的問題而非因PM的問題而出現漂移。該方法2730亦可向機器人發送命令,以閉環方式對晶圓放置執行即時校正。
圖14D示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法進行訓練)來辨識晶圓類型並基於晶圓類型選擇PM配方之方法2750。在2752,該方法2750擷取並處理將被運送至PM之裝載室中之晶圓的圖像。例如,該方法2750可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。從經處理的圖像中提取例如對晶圓類型有用之特徵(例如顏色、形狀等)的數據,並將其輸入至訓練用於檢測晶圓類型之模型中。
在2754,基於數據,該方法2750使用經訓練的模型來辨識晶圓上晶粒圖紋,其包括確定晶圓上是否存在晶粒圖紋。在2756,該方法2750使用經訓練的模型來確定晶圓類型。例如,經訓練的模型可確定晶圓是PM清潔期間所使用的生產晶圓(例如,用於生產記憶體或處理器的晶圓)或非生產晶圓(例如,調節晶圓或虛擬晶圓)。在2758,基於檢測到的晶圓類型,該方法2750使用經訓練的模型來選擇要在PM中使用的配方。例如,若晶圓為生產晶圓,則所選配方可進一步處理晶圓,或者若晶圓為調節晶圓,則可為清潔PM的配方。
圖14E示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法進行訓練)來確定晶圓是否在PM中被成功處理以及是否排程對PM進行維修的方法2760。在2762,該方法2760擷取並處理從PM運送至裝載室之晶圓的圖像。例如,該方法2760可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。在2764,該方法2760從經處理之圖像中辨識並提取數據,例如對於確定晶圓是否在PM中被成功處理(例如,在處理晶圓中是否有任何差異或變化)有用的特徵(例如,晶圓之一部分的顏色、光強度等)。該方法2760輸入數據至訓練用於確定晶圓是否在PM中被成功處理之模型中。在2766,基於數據,該方法2760使用經訓練的模型來確定晶圓是否在PM中被成功處理。在2768,若晶圓未在PM中被成功處理,則該方法2760使用經訓練的模型來自動排程對PM進行維修。
圖14F示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法進行訓練)來辨識進入晶圓的類別類型及PM中所執行之製程列表中之製程的類別,並選擇PM之適當清潔配方的方法2770。在2772,該方法2770擷取並處理從PM運送至裝載室之晶圓的圖像。例如,該方法2770可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
在2774,該方法2770從經處理的圖像中提取數據,例如對從PM中所執行之製程列表中辨識製程類別有用的特徵。該方法2770輸入數據至訓練用於從PM中所執行之製程列表中辨識製程類別的模型。基於數據,經訓練的模型可辨識在PM中對晶圓執行之製程。在2776,基於在PM中所執行之製程,該方法2770使用經訓練的模型自動選擇用於PM的清潔配方。
圖14G示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法進行訓練)並透過觀察邊緣耦合環來確定PM的清潔品質並排程對PM進行維修的方法2780。為了將清潔品質量化,可將見證非生產晶圓發送至PM中,且可執行製程,接著可將晶圓運送至裝載室以擷取圖像。長時間收集並標記整個機群之大量數據組以達成此目的。
在2782,該方法2780擷取並處理從PM運送至裝載室之邊緣耦合環的圖像。例如,該方法2780可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。在2784,該方法2780從經處理的圖像中提取數據,例如對於確定PM之清潔品質有用的特徵。該方法2780輸入數據至訓練用於確定PM清潔品質之模型中。基於數據,經訓練的模型確定PM中所執行之清潔的品質。在2786,基於所確定之品質,該方法2780使用經訓練的模型來自動排程何時及何種類型的清潔可在PM中執行。因此,免去對PM的檢測。
在下述圖14H及14I所示之方法中,邊緣耦合環在徹底使用並離開PM後進行檢測,以推測PM問題。在一工具上檢測一個邊緣耦合環將可能不足以達到此目的。反而,為達此目的,長時間地收集整個機群的數據。在此段時間期間,可人工地標記收集到的數據,其中使用者對每一邊緣耦合環之圖像的簽記標上標籤。例如,標籤可指示PM中哪個子系統故障而導致PM之邊緣耦合環有哪種簽記等。
圖14H示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法進行訓練)透過觀察邊緣耦合環來診斷PM中所使用之邊緣耦合環及配方之諸多問題的方法2790。在2792,該方法2790擷取並處理從使用相同配方之工具的PM運送至工具之裝載室的邊緣耦合環圖像。例如,該方法2790可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。在2794,該方法2790從經處理的圖像中提取對診斷邊緣耦合環及PM之諸多問題有用的數據。該方法2790輸入數據至訓練用於診斷諸多問題之模型中。
在2794,基於數據,經訓練的模型確定來自一PM之邊緣耦合環是否比來自其他PM之邊緣耦合環呈現更多變化,儘管所有PM都使用相同配方來處理晶圓。在2796,若一邊緣耦合環呈現更多變化,則該方法2790使用經訓練的模型來確定該PM中是否使用正確的邊緣耦合環(例如,該PM中是否使用另一PM的環或是不同供應商所製造的環)。在2798,若在PM中使用不正確或不適當的邊緣耦合環,則該方法2790使用經訓練的模型來排程在PM中安裝正確或新的環。在2800,若在PM中使用正確或適當的邊緣耦合環,則該方法2790使用經訓練的模型來調整PM中所使用的配方。
圖14I示出根據某些實施例使用模型(其利用感知、感測器融合及機器學習演算法進行訓練)透過觀察邊緣耦合環來診斷PM中晶圓處理之諸多問題的方法2810。在邊緣耦合環裝入PM之前,驗證邊緣耦合環的序號,以確保未裝入錯誤的邊緣耦合環。此無需機器學習即可完成。 可替代地,該方法2810可如下執行。在2812,該方法2810擷取並處理從PM運送至裝載室之邊緣耦合環的圖像。例如,該方法2810可調整亮度、增強對比度並執行圖像的操作,例如雜訊過濾、直方圖均衡、邊緣偵測、物件辨識及追踪、圖像分割、圖像去模糊、幾何變換、特徵偵測、深度估計等等。
在2814,該方法2810從經處理的圖像中提取對診斷PM中晶圓處理之諸多問題有用的邊緣耦合環的序號及其他數據。該方法2810輸入數據至訓練用於診斷諸多問題之模型中。基於該數據,該方法2810使用經訓練的模型來確定PM中晶圓處理是否有任何問題。在2816,若偵測到PM中晶圓處理有任何問題,則該方法2810使用經訓練的模型來確定邊緣耦合環的序號是否正確。在2818,若序號不正確,則該方法2810使用經訓練的模型來排程在PM中安裝正確或新的環。在2820,若序號正確,則該方法2810使用經訓練的模型來排程對PM進行維修。
在一些實施方式中,電腦視覺系統2400可將來自工具之原始圖像發送至雲中的數據中心,且所有圖像的處理及模型的訓練可在雲中執行。此外,工具使用期間之圖像處理以及由經訓練的模型所進行的建議可在雲中執行。在此等實施方式中,電腦視覺系統2400可部署在雲中作為軟體即服務(SaaS)。
模型訓練及驗證
圖15A-15C示出用於產生、訓練並驗證模型(如上參考圖12A-14I所述之彼者)的方法示例。此些方法係由伺服器2030(其在以下方法描述中稱為控制)所執行之一或更多應用程式來執行。
圖15A示出根據一些實施例產生模型的方法2900。在2902,控制選擇機器學習模型(例如,監督或非監督分類模型、深度神經網路、張量流等)網路拓撲以定義並建立模型。機器學習模型無需為神經網路。可使用任何分類方法。可使用的機器學習演算法之一些示例包括K-近鄰法、支援向量、K均值分群法深度神經網路等。例如,控制可透過對遞歸神經網路(Recurrent Neural Network)選擇網路拓撲來定義模型。
遞歸神經網路的示例示於圖16A中。例如,選擇網路拓撲包括選擇輸入數量、神經元數量及遞歸神經網路的層數。圖16A與16B及以下相應描述進一步詳細解釋模型產生。在2904,控制訓練模型。圖15B及以下相應描述進一步詳細解釋模型訓練。在2906,控制驗證模型。在2908,控制以閉環方式反覆地微調模型參數。圖15C及以下相應描述進一步詳細解釋模型驗證。
圖15B示出根據一些實施例訓練模型的方法2950。在2952,控制根據訓練數據(例如,來自幾個月內收集到之圖像中的數據)運行模型。在2954,控制對照標記的訓練數據來檢查模型所預測的輸出。在2956,控制調整模型的參數及/或網路拓撲,以在模型之預測與實際數據之間產生較佳匹配(例如,將最模型預測與訓練數據之間的誤差最小化)。在2958,控制確定模型是否滿足一些預定的訓練標準。若模型不符合預定的訓練標準,則控制返回2952。若模型符合預定的訓練標準,則控制結束。
圖15C示出根據一些實施例驗證模型的方法2970。方法2970稱為K折驗證(K-fold validation)方法,僅呈現作為示例。可採用其他驗證模型的方法作代替以驗證上述參考圖12A-14I之諸多模型。
在2972,控制將用於驗證的數據(例如,一些未用於訓練的數據)劃分為K個分區,其中K為大於1的整數。在2974,控制實例化複數相同的模型。在2976,對於每一實例化的模型,控制在一分區上訓練模型,並在其餘分區上評估模型。對每一評估分配驗證分數。在2978,控制將模型之K個驗證分數的平均值確定為模型的驗證分數。在2980,控制選擇模型中具有最高驗證分數之一者以供使用。
其他驗證方法可用於驗證模型。例如,可以使用N折交叉驗證(N-fold cross-validation)方法。在此方法中,數據集被分為一個最終測試集及N個其他子集,其中N為大於1的整數。每一模型在除了一個以外的子集上進行訓練,以獲得N個不同的驗證錯誤率估計。選擇驗證錯誤率最低的模型以供使用。
圖16A及16B示出遞歸神經網路的示例,其用以使用機器學習技術來產生模型(例如上述參考圖12A-14I之彼者)。機器學習是用於設計有助於預測之複雜模型演算法的方法(例如,用於排程維修及上述構件更換之預測)。使用機器學習(例如上述參考圖12A-14I之彼者)所產生的模型可產生可靠、可重複的決策及結果,並透過從數據之歷史關係及趨勢中學習來發現隱藏洞見(insights)。
如上參考圖12A至圖15B所述使用基於遞歸神經網路的模型並使用機器學習來訓練模型的目的是為了直接預測依變項( dependent variables),而無需將變項之間的關係轉換為數學形式。神經網路模型包括大量並行操作且分層排列的虛擬神經元。第一層是輸入層,並接收原始輸入數據。每一連續層修改來自前一層的輸出,並將其發送至下一層。最後一層為輸出層,並產生系統的輸出。
圖16A示出完全連接的神經網路,其中給定層中的每一神經元皆連接至下一層中的每一神經元。在輸入層中,每一輸入節點與一數值關聯,該數值可為任何實數。在每一層中,每一從輸入節點出發的連接具有與其有關的權重,該權重亦可為任何實數(請參見圖16B)。在輸入層中,神經元的數量等於數據集中特徵(列)的數量。輸出層可具有多個連續輸出。
輸入與輸出層之間的層為隱藏層。隱藏層的數量可為一或更多(一個隱藏層對大多數應用可能就足夠了)。沒有隱藏層的神經網路可表示線性可分函數(linear separable function)或決策。具有一個隱藏層的神經網路可執行從一有限空間到另一有限空間的連續映射。具有兩個隱藏層的神經網路可使任何光滑映射接近於任何精確度。
可將神經元的數量最佳化。在訓練開始時,網路配置很可能會有過多的節點。在訓練期間,可從網路中刪除不會明顯影響網路性能的節點。例如,可刪除訓練後權重接近零的節點 (此過程稱為修剪)。神經元的數量可能會導致不足擬合 (無法充分獲取數據集中的信號)或過度擬合(不足以訓練所有神經元的資訊;網路在訓練數據集上執行良好,但在測試數據集上執行不佳)。
諸多方法及標準可用於測量模型的性能。例如,均方根誤差(RMSE)量得觀得值與模型預測之間的平均差距。決定係數(R2
)量得觀得與預測結果之間的相關性(而非準確性)。若數據有大的差異,則此方法可能不可靠。其他性能測量包括不可約噪音(irreducible noise)、模型偏差(model bias)及模型方差(model variance)。模型之較高模型偏差表示該模型無法無法獲取預測子與結果之間的真實關係。模型方差可表示模型是否不穩定(數據中的微小擾動會顯著改變模型擬合)。
除了擷取並處理基板及工具中周圍設備之一部分的圖像以用於特徵辨識及特徵提取之外,下述本揭示內容之系統及方法可自動配置工具並對操作期間工具中可能發生之一些錯誤(例如,可恢復的錯誤)執行自動錯誤偵測及錯誤恢復。具體地,根據本揭示內容之系統可實施於工具(例如,圖1-6C所示之工具)中,並可包括安裝在工具中諸多位置處之複數相機,以在工具設定時(即,在安裝並設定工具時)擷取工具之諸多子系統的圖像。該系統可使用電腦視覺算法來自動辨識子系統,並基於子系統之擷取圖像來確定工具配置,接著基於所辨識之子系統自動配置工具。例如,系統可自動辨識工具之配置詳細資訊,其包括但不限於安裝在工具中之緩衝件的數量及類型、裝載室(LL)/裝載埠模組(LPM)、機器人、末端執行器(EE)、設備前端模組(EFEM)、緩衝站等。可接著在初始安裝或設定時、或在對該工具執行更新或維修後(在其中添加、刪除、替換或更新一或更多子系統),使用此數據以自動配置該工具。
根據某些實施例,使用即時影音處理(使用例如基於區域卷積神經網路(Region-based Convolutional Neural Networks,R-CNN)、單次偵測(Single Shot Detection,SSD)、你只看一次(You Only Look Once,YOLO)之演算法所執行之物件追踪及定位) ,該系統可追踪工具中移動物件的位置及狀態(例如,機器人位置、機器人末端執行器之伸出/縮回、LL / LPM門開啟/關閉、EE上存在之基板/邊緣耦合環等)。若物件(例如,機器人)之操作有錯誤,以及若工具停止操作(例如,基板之傳送),則系統可辨識工具狀態,並可自動採取校正措施以恢復操作(若可能的話)。該校正措施可遠端啟動。此外,若在工具上人工執行校正措施,則系統可辨識人工干預並防止該工具被遠端控制,或者可協調該工具的人工干預及遠端控制。系統之此些及其他額外功能將於下詳細描述。
在整篇下文描述中,一或更多光源設置於工具之暗區中,使得相機可擷取物件之圖像及/或影音,如下所述。例如,如上參考圖11所示及所述,在工具呈黑暗的區域(例如裝載室)中,光源佈設成照射工具構件,使得相機可擷取其圖像/影音。可使用具有不同波長之諸多光源類型及照明配置。例如,該工具可包括EFEM中之一光源,每一LL中之一光源、以及VTM之兩光源。對於LL及VTM,光源位於相機附近。對於具有感光材料之基板,使用具適當波長之光源,以避免來自光源的光影響基板之外膜層。相應地使用具有適當光譜回應(可見光、近紅外線、紅外線)之相機,以擷取高品質圖像及影音。
圖17A示出根據本揭示內容之某些實施例可在工具3000上執行自動配置以及自動錯誤偵測與錯誤恢復之系統。例如,該系統可實施於圖1-6C所示之任何工具中(即,工具3000可包括圖1-6C所示之任何工具)。該工具3000包括複數子系統3002、複數相機3004、用以照射子系統3002之物件的光源3005、物件偵測模組3006、配置模組3008、錯誤偵測模組3010及錯誤恢復模組3012。該工具3000透過分佈式通訊系統3016(例如,圖8A-8C所示之元件2010)與遠端運算裝置3014(例如,圖8A-8C所示之元件2130)通訊。
子系統3002之非限制性示例包括安裝在工具3000中之緩衝件、裝載室(LL)/裝載埠模組(LPM)、機器人、末端執行器(EE)、設備前端模組(EFEM)等等。相機3004可放置在工具3000中之諸多位置。相機3004的數量及位置可取決於工具3000的配置。例如,參見示出諸多工具配置之圖1-6C,取決於工具配置,其每一者可能需不同數量的相機佈設在不同位置處。
圖17B示出圖17A之系統中所使用之工具3000的示例,其相機佈設於工具中之諸多位置處。顯示兩種類型的相機 : 第一,圖像處理相機;第二,影音流及影音處理相機(稱為攝像機)。例如,攝像機3030位於工具之裝載埠模組(LPM)中。三個攝像機3032、3034及3036位於工具之設備前端模組(EFEM)中。兩個攝像機3038及3040位於工具之氣室(AL)中。另外,兩個圖像處理相機3042及3044位於工具之氣室(AL)中。三個攝像機3046、3048及3049位於工具之真空傳送模組(VTM)中。取決於工具配置,可設想不同數量之每一類型相機處於不同位置處之諸多其他佈設。
在圖17A中,物件偵測模組3006使用諸多即時影音處理演算法(如下所述)以即時偵測物件(例如,子系統3002及其構件)的移動及其在工具3000中的位置。物件偵測模組3006所執行之物件偵測的品質取決於許多因素。例如,該等因素可包括所使用之演算法的類型。該等因素可包括相機3004的能力(例如,解析度)。該等因素亦可包括相機3004的數量及位置。
例如,物件偵測模組3006所執行之物件偵測的品質可與相機3004之數量成比例。在一些工具配置中,若相機可放置在工具中之關鍵位置(例如,當一或更多相機可觀得工具之較大部分時),則可用很少的相機執行相對高品質之物件偵測。在一些工具配置中,若一些相機無法放置在工具中的關鍵位置,則可能需要相對大量的相機。
在一些實施例中,物件偵測模組3006處理子系統3002的圖像並辨識子系統3002。例如,物件偵測模組3006可辨識工具3000中安裝多少緩衝件、裝載室(LL)/裝載埠模組(LPM)、機器人、末端執行器(EE)、設備前端模組(EFEM)等等。另外,物件偵測模組3006可辨識此些子系統3002的類型。
根據一些實施例,基於此些辨識,該配置模組3008可自動配置工具3000。例如,配置模組3008可載入用於操作並控制所辨識之子系統3002的軟體模組。例如,配置模組3008可自動配置工具3000之使用者界面(UI)3018。例如,配置模組3008可在UI 3018之下拉菜單中自動啟用一些功能,以用於操作並控制所辨識之子系統3002,並關閉不適用於所辨識之子系統3002或與其不相容的其他功能。例如,取決於所辨識之子系統3002,配置模組3008可自動地僅允許某些製程/操作(例如,可在所辨識之子系統3002上執行或使用其之製程/操作)的執行,並禁止其他製程(例如,無法在所辨識之子系統3002上執行或使用其之製程/操作)之執行。
根據一些實施例,錯誤偵測模組3010基於物件偵測模組3006所執行之物件偵測(透過監測物件(例如,機器人、基板、邊緣耦合環、PM / LL / LPM的門等)在工具3000中的移動及位置),來偵測工具3000中的錯誤(參見以下示例)。錯誤恢復模組3012自動校正錯誤偵測模組3010所偵測到的一些錯誤。例如,錯誤恢復模組3012僅自動校正錯誤偵測模組3010所偵測到之可恢復錯誤。
在一些實施方式中,錯誤恢復模組3012可透過分佈式通訊系統3016而與遠端運算裝置3014通訊。遠端運算裝置3014可透過與工具3000進行通訊(藉由錯誤恢復模組3012)來遠端校正一些錯誤。在透過遠端運算裝置3014對工具3000進行遠端控制期間,可透過配置模組3008來禁止工具3000中的人工干預。相反地,若錯誤需要人工干預,則可禁止遠端運算裝置3014對工具3000的遠端控制,以確保維修工具3000之維修人員的安全。相機3004可偵測到工具3000中之人工干預(例如,維修人員的身體部位),而配置模組3008可禁止遠端運算裝置3014對工具3000的遠端控制,以確保維修人員的安全。在一些實施方式中,使用UI 3018,維修人員能夠透過人工干預以及透過遠端運算裝置3014來協調錯誤校正。
以下是錯誤偵測模組3010及錯誤恢復模組3012所執行之錯誤偵測及錯誤恢復的一些非限制性示例。例如,由於工具3000中製程模組(PM)中之基板未成功脫附,或者由於PM中之升降銷故障,基板可能在PM中之基板支撐件(ESC)上偏離中心。當VTM機器人進入PM移走基板時,PM中之動態對準(DA)感測器可能會偵測到超出指定範圍的偏移。據此,工具3000可產生或指示錯誤,且VTM機器人停止基板的移動,以避免基板碰撞PM的壁/門。
在以上示例中,基於從監測VTM機器人之相機3004接收到的數據,物件偵測模組3006辨識工具狀態,包括機器人位置、機器人末端執行器(EE)位置及PM門狀態(打開/關閉)。若相機3004辨識出PM門打開且基板仍在EE上,則錯誤偵測模組3010辨識該錯誤,而錯誤恢復模組312使機器人重新進入PM,將基板放置在升降銷上,並以DA感測器所運算出之新的正確偏移量再次拾取基板。此恢復程序目前是人工執行,且需維修人員出現在工具3000處。此外,該程序可能需打開VTM或PM,其增加工時成本及工具操作的停機時間。相反地,透過自動校正錯誤,圖17A所示之系統免去打開PM的需要,其降低工時成本及停機時間。
在另一示例中,當VTM機器人將已處理的基板放入工具3000中之氣室中時,若基板的溫度太高及/或若EE墊沾黏,則基板可能無法正確地放置在 氣室指。因此,基板可能從氣室指上滑動且垂下。若氣室門關閉,則基板可能會卡在氣室門之間並且可能損壞。或者,當ATM機器人進入氣室以拾取基板時,若基板呈交叉槽(cross slotted),則EE可能會損壞晶圓。
在以上示例中,基於從相機3004接收到的數據,物件偵測模組3006可偵測到不合適的基板放置,並可辨識基板中心及平面。錯誤偵測模組3010偵測因不正確的基板放置所引起的錯誤。錯誤恢復模組3012將基板中心與平面傳送至機器人。若可能的話,機器人以實況閉環方式在X/Y/Z座標中找出拾取基板之路徑。可替代地,此操作可使用遠端運算裝置3014,以透過在正確方向上緩慢地移動機器人並觀看從錯誤恢復模組3012接收到之實況影音流來執行。此免去維修人員需出現在工具3000處,排除需打開LL,並減少工具停機時間及人工成本。
在一些實施例中,錯誤偵測模組3010、錯誤恢復模組3012及配置模組3008可根據錯誤的類型以其他方式回應錯誤。例如,若子系統3002的錯誤為不可恢復的(例如,若需更換部件而該部件卻無法取得),則配置模組3008可暫時關閉子系統3002。例如,配置模組3008可關閉UI 3018中之子系統3002的菜單選擇。例如,配置模組3008可改變基板的處理順序,以迴避子系統3002。例如,配置模組3008可關閉子系統3002以避免其被無意間使用到,直到對其進行維修。
圖17C示出根據本揭示內容之某些實施例自動配置工具的方法3050。例如,圖17A中所示之系統可如下執行該方法3050。在3052,該方法3050確定是否打開工具3000的電源。若打開工具3000的電源,則在3054,該方法3050使用安裝在工具3000中諸多位置處的相機3004來擷取工具3000之子系統3002的圖像。在3056,該方法3050基於相機3004所偵測到的子系統3002來自動配置工具3000,如上參考圖17A詳細敘述。
圖17D示出根據本揭示內容之某些實施例自動校正工具中所偵測到之誤差的方法3070。例如,圖17A所示之系統可如下執行方法3070。在3072,該方法3070使用安裝在工具3000中諸多位置處的相機3004以即時監測工具3000之子系統3002。在3074,該方法3070確定是否在工具300中偵測到錯誤(例如,使用相機3004、物件偵測模組3006及錯誤檢測模組3010,如上參考圖17A詳細敘述)。若未偵測到錯誤,則該方法3070繼續使用相機3004即時監測工具3000之子系統3002。
若在3076偵測到錯誤,則該方法3070確定該錯誤是否為自動可校正無需人工干預。若該錯誤為自動可校正無需人工干預,則在3078,該方法3070自動校正該錯誤,如上參考圖17A詳細敘述。若該錯誤不是自動可校正,則在3080,該方法3070排程/要求維修(例如,透過UI 3018通知工具操作員)。
圖10B示出使用至少兩相機2200及2201之立體圖像處理系統。如下參考圖18A-18E所解釋,在一些實施方式中,使用單個相機(例如2200或2201)之立體圖像處理可用於建立邊緣耦合環之厚度輪廓的3D點雲。例如,單個相機(2200或2201)可用於擷取邊緣耦合環2314(示於圖10B中)之一或更多圖像。接著,使用立體圖像處理技術,邊緣耦合環之厚度輪廓的3D點雲可從相機所擷取之圖像來建立。
3D點雲是空間中物件(例如,圖10B中之邊緣耦合環2314)或其一部分的一組數據點。根據一些實施例,3D點雲係透過測量邊緣耦合環2314之外表面上的許多點來產生。可直接分析3D點雲,或可將其轉換為網格、表面模型或3D模型。3D點雲接著可對到邊緣耦合環2314是新的(即沒有腐蝕)時所產生之邊緣耦合環2314模型,並進行比較以確定差異。邊緣耦合環2314之腐蝕量可基於該差異來確定。例如,該差異可指示尺寸的變化,例如因腐蝕導致邊緣耦合環2314之厚度下降。
3D點雲亦可用於表示邊緣耦合環2314之體積數據,其接著可被用於確定邊緣耦合環2314因腐蝕而導致的厚度下降。參考圖11所述之電腦視覺系統2400的圖像處理器2408可執行立體圖像處理,包括3D點雲產生、基於點雲數據之厚度輪廓產生、以及對邊緣耦合環之厚度輪廓的分析,以評估邊緣耦合環2314的腐蝕量。
圖18A示出根據某些實施例使用單個相機來執行立體圖像處理之系統3100的示例。系統3100僅使用一個相機來建立邊緣耦合環的3D點雲。在一些實施例中,機器人移動裝載室中的邊緣耦合環,且裝載室中之單個相機拍攝邊緣耦合環之一張圖像。接著,機器人將邊緣耦合環移動預定距離,且相機拍攝邊緣耦合環之另一張圖像。而後,圖像處理器使用此兩張圖像執行三角交叉法(triangulation),以運算3D點雲。機器人可額外地將邊緣耦合環移動預定距離,並在每次移動之後,相機可拍攝額外圖像以進行點雲運算,其可提高測量的準確性。
在所示示例中,系統3100包括控制機器人3104的系統電腦3102。機器人3104移動裝載室3108中之邊緣耦合環3106。光源3110照射邊緣耦合環3106。每當機器人3104將邊緣耦合環3106移動預定距離時,單個相機3112即擷取邊緣耦合環3106的圖像,如圖18B所示。耦接至相機3112之圖像處理器3114使用如圖18C所示之三角交叉法來處理圖像,以運算3D點雲。圖像處理器3114基於3D點雲產生如圖18D所示的邊緣耦合環3106的表面輪廓(即,厚度輪廓)。圖像處理器3114執行立體圖像處理,包括使用三角交叉法之3D點雲產生、基於3D點雲數據之厚度輪廓產生、以及邊緣耦合環之厚度輪廓的分析,以評估邊緣耦合環3106的腐蝕量。在一些實施例中,圖像處理器3114及相機3112可類似於圖11所示之電腦視覺系統2400的圖像處理器2408及相機2402。在一些實施方式中,系統電腦3102可包括邊緣運算裝置。
圖18D示出可使用等式z = f(r)將邊緣耦合環3106之表面輪廓z描述為邊緣耦合環3106之半徑r的函數。圖18B示出邊緣耦合環3106沿著x軸從x1到x2移動預定距離d1。P1 =(x1,z1)及P2 =(x2,z2)分別表示相機3112所擷取之邊緣耦合環3106上的兩個點。x1’及x2’為那些點沿x軸在相機3112中之圖像感測器上的投影。圖像感測器一般用x1'及x2'線表示。圖18C示出圖像處理器3114使用數據P1及P2所執行之三角交叉法。
圖18E示出根據一些實施例使用單個相機(例如,圖18A中所示之相機3112)執行立體圖像處理的方法3200。該方法3200可用於建立邊緣耦合環之厚度輪廓的3D點雲。例如,該方法3200可透過圖18A所示之圖像處理器3114及相機3112、或者透過圖11所示之電腦視覺系統2400的圖像處理器2408及相機2402來執行。
在3202,該方法3200透過使用機器人3104將邊緣耦合環3106移動預定距離並使用相機3112來擷取邊緣耦合環3106的圖像,如圖18B所示且如上所述。在不同位置處擷取至少兩張圖像。在3204,該方法3200透過對來自相機3112所擷取之圖像的數據進行三角交叉法來產生3D點雲,如圖18C所示且如上所述。在3206,該方法3200產生邊緣耦合環3106的厚度輪廓,如圖18D所示且如上所述。
在3208,該方法3200比較邊緣耦合環3106之厚度輪廓與邊緣耦合環3106是新的時之先前厚度輪廓(其亦是使用相同技術來產生)。在3210,該方法3200基於該比較來確定邊緣耦合環3106的腐蝕量。
在3212,該方法3200確定邊緣耦合環3106之腐蝕量是否大於或等於預定閾值。若邊緣耦合環3106之腐蝕量不大於或等於預定閾值,則在3214,該方法3200繼續使用邊緣耦合環3106,且方法返回3202。若邊緣耦合環3106之腐蝕量大於或等於預定閾值,則在3216,該方法3200確定邊緣耦合環3106應以新的邊緣耦合環替換,且該方法在更換後返回3202。
前文描述在本質上僅用以說明且絕非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式加以執行。因此,雖然本揭示內容包含特定示例,但本揭示內容之真實範圍應不被如此限制,因為其他的變化將在研讀圖式、說明書及以下請求項後變得顯而易見。應理解方法中之一或更多步驟可以不同順序(或同時)加以執行而不改變本揭示內容之原理。
此外,雖然各個實施例係如上所述為具有某些特徵,但關於本揭示內容之任何實施例描述的此等特徵中之任何一或更多者可在任何其他實施例之特徵中及/或與其結合實施,即使並未明確描述該結合。換言之,描述的實施例並非互斥,且一或更多實施例彼此的置換仍在此揭示內容的範圍內。
元件之間(例如 : 模組、電路元件、半導體層等之間)的空間及功能關係使用諸多術語加以描述,包含 :「連接」、「接合」、「耦接」、「相鄰」、「旁邊」、「在上方」、「上方」、「下方」、及「設置」。當於上述揭示內容中描述第一與第二元件之間的關係時,除非明確描述為「直接」,否則該關係可為沒有其他中介元件存在於該第一與第二元件之間的直接關係,但亦可為有一或更多中介元件(空間地或功能地)存在於該第一與第二元件之間的間接關係。
如本文中所使用,片語「A、B、及C之至少一者」應被理解為意指使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為意指「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施方式中,控制器為系統之一部分,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理構件(晶圓基座、氣流系統等)。此些系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之操作。
該等電子設備可指"控制器",其可控制該系統或複數系統之諸多構件或次部件。取決於處理需求及/或系統類型之控制器可程式化,以控制本文所揭示之任何製程,包括處理氣體之傳送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置及操作設定、晶圓傳送(進出與特定系統相連接或相接合之工具及其他傳送工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清潔操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。
程式指令可為以諸多個別設定(或程式檔案)之形式而傳送至控制器的指令,該個別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。操作參數在一些實施例中可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施方式中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能計量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。
在一些示例中,遠端電腦(例如,伺服器)可透過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。
因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
100:基板處理工具
104:製程模組
108:設備前端模組
200:佈設
204:製造室
208:基板處理工具
300:配置
304:第一基板處理工具
308:第二基板處理工具
312:傳送台
316:真空傳送模組
320:真空傳送模組
324:支撐件、傳送台
328:儲存緩衝件
332:設備前端模組
400:配置
404:第一基板處理工具
408:第二基板處理工具
412:傳送台
416:氣室裝載站
500:配置
504:基板處理工具
508:裝載站
512:製程模組
600:配置
604:第一基板處理工具
608:第二基板處理工具
612:設備前端模組
616:裝載站
620:裝載站
700:配置
704:第一基板處理工具
708:第二基板處理工具
712:設備前端模組
716:裝載站
720:裝載站
800:配置
804:基板處理工具
808:基板處理工具
812:傳送台
816:設備前端模組
820:第二設備前端模組
900:配置
904:基板處理工具
908:製程模組
912:運送載具
916:內部
920:機器人
1000:配置
1004:基板處理工具
1008:製程模組
1012:真空傳送模組
1016:機器人
1020:設備前端模組
1100:配置
1104:基板處理工具
1108:製程模組
1112:製程模組
1116:設備前端模組
1150:基板處理工具
1154:製程模組
1158:設備前端模組
1162:傳送機器人
1166:裝載站
1170:裝載室
1174:真空傳送模組
1178:真空傳送機器人
1200-1:第一基板處理工具
1200-2:第二基板處理工具
1200-3:第三基板處理工具
1204:設備前端模組
1208:裝載室
1212:真空傳送模組
1216:傳送機器人
1220:裝載站
1224:背壁
1228:製程模組
1232:真空傳送機器人
1234:末端執行器
1236:儲存緩衝件
1240:儲存緩衝件
1600:基板處理工具
1604:設備前端模組
1608:裝載室
1612:真空傳送模組
1616:製程模組
1620-1:真空傳送機器人
1620-2:真空傳送機器人
1620-3:真空傳送機器人
1620-4:真空傳送機器人
1620-5:真空傳送機器人
1624:臂
1628:臂段
1632:末端執行器
1636:儲存緩衝件
1640:儲存緩衝件
1700:基板處理系統
1702:處理腔室
1704:上部電極
1706:靜電吸盤
1708:基板
1710:氣體分佈裝置
1712:底板
1714:加熱板
1716:熱阻層
1718:通道
1720:射頻產生系統
1722:射頻產生器
1724:網路
1730:蒸汽輸送系統
1732-1:氣體源
1732-2:氣體源
1732-N:氣體源
1734-1:閥
1734-2:閥
1734-N:閥
1736-1:質量流量控制器
1736-2:質量流量控制器
1736-N:質量流量控制器
1740:歧管
1742:蒸汽輸送系統
1750:溫度控制器
1752:熱控制元件(TCE) 1754:冷卻劑組件
1756:閥
1758:泵
1760:系統控制器
1770:電腦視覺系統
1772-1:機群
1772-2:機群
1772-3:機群
1772-N:機群
1774:分佈式運算系統
1780:偵測器
1782:圖像處理器
1784:感知演算法、圖像處理器
1786:控制器
1788:機器人
1790:致動器
1792:配方
1800:基板處理系統
1811:線圈驅動電路
1812:射頻源
1813:調諧電路
1814:脈衝電路
1816:感應線圈
1824:介電窗
1828:處理腔室
1832:基板支撐件
1834:基板
1840:電漿
1850:射頻源
1851:脈衝電路
1852:偏壓匹配電路
1854:控制器
1856:氣體輸送系統
1857:惰性氣體源
1858:氣體計量系統
1859:歧管
1863:氣體注入器
1864:加熱器/冷卻器
1865:排出系統
1866:閥
1867:泵
1870:邊緣耦合環
1871:基座
1872:第一環形部分
1873:基板
1874:第二環形部分
1875:電漿
1876:第三環形部分
1880:致動器
1900:處理腔室
1902:下部腔室區域
1904:上部腔室區域
1908:腔室側壁表面
1910:腔室底表面
1914:氣體分佈裝置
1918:圓頂
1921:第一環形支撐件
1922:基板支撐件
1923:隔開孔
1925:第二環形支撐件
1926:基板
1927:隔開孔
1928:板
1929:氣流通道
1930:加熱器板
1931:孔
1934:氣流通道
1940:感應線圈
1942:氣體注入器
1950-1:氣體輸送系統
1950-2:氣體輸送系統
1952:氣體源
1954:閥
1956:質量流量控制器(MFC)
1970:電漿產生器
1972:射頻產生器
1974:匹配網路
1976:控制器
1978:閥
1980:泵
1984:射頻偏壓產生器
1986:射頻產生器
1988:匹配網路
1990:電漿
2000:分佈式運算系統
2010:分佈式通訊系統
2020-1:客戶端裝置
2020-2:客戶端裝置
2020-M:客戶端裝置
2030-1:伺服器
2030-2:伺服器
2030-N:伺服器
2110:分佈式通訊系統
2120-1:客戶端裝置
2130-1:伺服器
2150:處理器
2152:輸入裝置
2154:顯示子系統
2156:顯示器
2158:網路介面
2160:記憶體
2162:大量儲存器
2164:操作系統
2166:客戶端應用程式
2170:處理器
2172:輸入裝置
2174:顯示子系統
2176:顯示器
2178:網路介面
2180:記憶體
2182:大量儲存器
2184:操作系統
2186:伺服器應用程式
2188:數據庫
2200:相機
2201:相機
2202:觀察埠
2204:氣室蓋
2206:蓋體
2208:母座
2210:相機
2216:安裝組件
2222:機器人
2300:氣室指
2302:氣室指
2304:指墊
2306:指墊
2308:晶圓
2310:末端執行器
2312:墊
2314:邊緣耦合環
2316:墊
2400:電腦視覺系統
2402:相機
2404:工具
2406:工具構件
2407:光源
2408:圖像處理器
2410:系統電腦
2412:遠端數據中心
2500:方法
2502:步驟
2504:步驟
2506:步驟
2508:步驟
2520:方法
2522:步驟
2524:步驟
2526:步驟
2528:步驟
2540:方法
2542:步驟
2544:步驟
2546:步驟
2548:步驟
2560:方法
2562:步驟
2564:步驟
2566:步驟
2568:步驟
2570:方法
2572:步驟
2574:步驟
2576:步驟
2578:步驟
2580 :方法
2582:步驟
2584:步驟
2586:步驟
2588:步驟
2600:方法
2602:步驟
2604:步驟
2606:步驟
2608:步驟
2620:方法
2622:步驟
2624:步驟
2626:步驟
2628:步驟
2640:方法
2642:步驟
2644:步驟
2646:步驟
2648:步驟
2660:方法
2662:步驟
2664:步驟
2666:步驟
2668:步驟
2670:方法
2672:步驟
2674:步驟
2676:步驟
2678:步驟
2680:方法
2682:步驟
2684:步驟
2686:步驟
2688:步驟
2700:方法
2702:步驟
2704:步驟
2706:步驟
2708:步驟
2710:步驟
2712:步驟
2720:方法
2722:步驟
2724:步驟
2726:步驟
2728:步驟
2730:方法
2732:步驟
2734:步驟
2736:步驟
2738:步驟
2740:步驟
2750:方法
2752:步驟
2754:步驟
2756:步驟
2758:步驟
2760:方法
2762:步驟
2764:步驟
2766:步驟
2768:步驟
2770:方法
2772:步驟
2774:步驟
2776:步驟
2780:方法
2782:步驟
2784:步驟
2786:步驟
2790:方法
2792:步驟
2794:步驟
2796:步驟
2798:步驟
2800:步驟
2810:方法
2812:步驟
2814:步驟
2816:步驟
2818:步驟
2820:步驟
2900:方法
2902:步驟
2904:步驟
2906:步驟
2908:步驟
2950:方法
2952:步驟
2954:步驟
2956:步驟
2958:步驟
2970:方法
2972:步驟
2974:步驟
2976:步驟
2978:步驟
2980:步驟
3000:工具
3002:子系統
3004:相機
3006:物件偵測模組
3008:配置模組
3010:錯誤偵測模組
3012:錯誤恢復模組
3014:遠端運算裝置
3016:分佈式通訊系統
3018:使用者界面
3030:攝像機
3032:攝像機
3034:攝像機
3036:攝像機
3038:攝像機
3040:攝像機
3042:圖像處理相機
3044:圖像處理相機
3046:攝像機
3048:攝像機
3049:攝像機
3050:方法
3052:步驟
3054:步驟
3056:步驟
3070:方法
3072:步驟
3074:步驟
3076:步驟
3078:步驟
3080:步驟
3100:系統
3102:系統電腦
3104:機器人
3106:邊緣耦合環
3108:裝載室
3110:光源
3112:相機
3114:圖像處理器
3200:方法
3202:步驟
3204:步驟
3206:步驟
3208:步驟
3210:步驟
3212:步驟
3214:步驟
3216:步驟
透過詳細描述及附圖,將更加全面地理解本揭示內容,其中:
圖1示出基板處理工具之示例;
圖2示出製造設施中基板處理工具之示例性佈設;
圖3A-3I示出基板處理工具之諸多示例性配置;
圖4示出基板處理工具之示例;
圖5A至5D示出根據本揭示內容之某些特徵中基板處理工具的諸多示例性配置平面圖;
圖6A至6C示出根據本揭示內容之某些特徵中基板處理工具的示例性配置平面圖;
圖7A-7C示出根據本揭示內容之某些特徵中包含有諸多處理腔室的基板處理系統功能方塊圖;
圖7D示出根據本揭示內容之某些特徵中邊緣耦合環於處理腔室中之示例;
圖8A示出根據本揭示內容之某些特徵中電腦視覺系統的高階架構;
圖8B-8D示出根據本揭示內容之某些特徵中實施該等系統及方法的客戶端-伺服器架構;
圖9A-9F示出根據本揭示內容之某些特徵中工具中安裝用於電腦視覺系統中之相機的位置示例;
圖10A示出位於工具中裝載室中可由圖9A至圖9D所示相機之一者之觀得之構件示意圖;
圖10B示出根據本揭示內容之某些特徵中用於擷取三維圖像之兩相機的佈設;
圖11示出使用圖8A-8D之客戶端-伺服器架構並使用圖9A-9F所示之相機佈設而實施之電腦視覺系統的示例性方塊圖;
圖12A-12F示出基於電腦視覺系統從諸多工具所擷取之圖像而使用機器學習來訓練諸多模型之方法的示例性流程圖;
圖13A-13F示出基於在生產期間所擷取之圖像並使用經訓練模型而在工具中執行之方法的示例性流程圖;
圖14A-14I示出根據本揭示內容之某些特徵中使用電腦視覺系統而執行用於改善工具操作之額外基於模型之方法的示例性流程圖;
圖15A、15B及15C示出用於產生、訓練並驗證模型之方法的示例性流程圖;
圖16A及16B示出用於產生模型之示例性遞歸神經網路的圖形表示;
圖17A示出配置成在圖1-6C所示之基板處理系統中執行自動配置及自動錯誤偵測與錯誤恢復之系統的示例;
圖17B示出用於圖17A之基板處理系統中而在工具之諸多位置處設有相機之工具的示例;
圖17C示出使用圖17A之系統以執行基板處理系統之自動配置的示例性方法流程圖;
圖17D示出使用圖17A之系統以在基板處理系統中執行自動錯誤恢復的示例性方法流程圖;
圖18A-18D示出根據本揭示內容之某些特徵中使用單個相機以執行立體圖像處理之系統的示例;以及
圖18E示出根據本揭示內容之某些特徵中使用單個相機以執行立體圖像處理之示例性方法的流程圖。
在圖式中,參考符號可被重複使用以標識相似及/或相同的元件。
2010:分佈式通訊系統
2030-1:伺服器
2030-2:伺服器
2030-N:伺服器
2400:電腦視覺系統
2402:相機
2404:工具
2406:工具構件
2407:光源
2408:圖像處理器
2410:系統電腦
2412:遠端數據中心
Claims (40)
- 一種基板處理系統,包括: 一處理器;以及 一記憶體,其儲存由該處理器執行之指令用以: 處理一基板及該基板處理系統之一構件兩者中之至少一者之一相機所擷取的一圖像,其中該構件與在該基板處理系統之處理腔室之間或在該基板處理系統與一第二基板處理系統之間運送該基板相關聯,且其中該相機係沿著該基板處理系統中該基板於該等處理腔室之間的行進路徑設置; 透過一網路從該圖像傳送第一數據至一遠端伺服器; 透過該網路從該遠端伺服器接收第二數據,以回應傳送該等第一數據至該遠端伺服器;以及 根據該等第二數據來操作該基板處理系統。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該構件且該構件包括位於該基板處理系統之一裝載室中的一墊,該墊係用於支撐該基板、與該基板相關聯之一邊緣耦合環、或運送該基板之機器人,該等第二數據包括以下之一或更多者: 該墊之狀況的指示;以及 關於該墊維修與更換之一或更多者的建議;以及 其中該等指令進一步使該處理器產生一排程,用於維修與更換該墊之一或更多者,以回應於該等第二數據,該等第二數據包含有關於該墊維修與更換之一或更多者之該建議。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該基板且該基板用於清潔該基板處理系統中該等處理腔室之一者期間,該等第二數據包括以下之一或更多者: 該基板是屬於清潔該基板處理系統中該等處理腔室之該一者期間所使用之類型的指示; 該基板之狀況的指示; 關於更換該基板之建議;以及 關於在該等處理腔室之該一者中選擇一配方的建議;以及 其中該等指令進一步使該處理器在該等處理腔室之該一者中選擇該配方,以回應於該等第二數據,該等第二數據包含有關於選擇該配方之該建議。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該基板,該等第二數據包括以下之一或更多者: 該基板之類型的指示;以及 用於選擇一配方以處理該基板的指示;以及 其中該等指令進一步使該處理器選擇用於處理該基板之該配方,以回應於該等第二數據,該等第二數據包含有關於選擇該配方之該指示。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該基板,該等第二數據包括以下之一或更多者: 該基板之類型的指示; 在該等處理腔室之一者中於該基板上執行一製程的指示; 處理過該基板之該等處理腔室之該一者之狀況的指示;以及 用於選擇一配方以清潔處理過該基板之該等處理腔室之該一者的指示;以及 其中該等指令進一步使該處理器: 排程對該等處理腔室之該一者的維修,以回應於該等第二數據,該等第二數據包含有該等處理腔室之該一者之該狀況的該指示;以及 選擇該配方以清潔處理過該基板之該等處理腔室之該一者,以回應於該等第二數據,該等第二數據包含有關於選擇該配方的該指示。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該基板且由位於該基板處理系統之一裝載室中的該相機所擷取,該等第二數據包括以下之一或更多者: 由一機器人從處理過該基板之該等處理腔室之該一者運送該基板而該基板在該裝載室中之位置漂移的指示;以及 關於維修該機器人或處理過該基板之該等處理腔室之該一者的建議;以及 其中該等指令進一步使該處理器: 產生用於維修該機器人之一排程,以回應於該等第二數據,該等第二數據包含有關於維修該機器人之該建議;以及 在該等處理腔室之該一者中執行與釋出該基板予該機器人有關之調整,以回應於該等第二數據,該等第二數據包括有關於維修處理過該基板之該等處理腔室之該一者的該建議。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該等第二數據包括以下之一或更多者: 該邊緣耦合環之一序號;以及 關於對該等處理腔室之該一者用具有一正確序號之一第二邊緣耦合環來更換該邊緣耦合環的建議;以及 其中該等指令進一步使該處理器產生一排程,以對該等處理腔室之該一者用具有該正確序號之該第二邊緣耦合環更換該邊緣耦合環,以回應於包含有該建議的該等第二數據。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該等第二數據包括以下之一或更多者: 該邊緣耦合環為不正確之指示; 與處理該基板相關之問題是由於該邊緣耦合環為不正確之指示;以及 對該等處理腔室之該一者用一正確的邊緣耦合環更換該邊緣耦合環的建議;以及 其中該等指令進一步使該處理器產生一排程以對該等處理腔室之該一者用該正確的邊緣耦合環更換該邊緣耦合環,以回應於包含有該建議的該等第二數據。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該等第二數據包括以下之一或更多者: 該等處理腔室之該一者中之蝕刻速率的指示;以及 對用於該等處理腔室之該一者中之一配方進行調整的指示;以及 其中該等指令進一步使該處理器調整用於該等處理腔室之該一者中之該配方,以回應於包含有關於該調整之該指示的該等第二數據。
- 如請求項1所述之基板處理系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該等第二數據包括: 調整用於該等處理腔室之該一者中之該邊緣耦合環與一配方之至少一者的指示,以回應於該邊緣耦合環對該等處理腔室之該一者為正確、該等處理腔室使用相同配方、且該邊緣耦合環呈現出與該基板處理系統中其他處理腔室中之邊緣耦合環不同的變化;以及 其中該等指令進一步使該處理器調整用於該等處理腔室之該一者中之該邊緣耦合環與該配方之至少一者。
- 一種系統,包括: 一處理器;以及 一記憶體,其儲存由該處理器執行之指令用以: 透過一網路從一基板及一基板處理系統之一構件中之至少一者之一相機所擷取的一圖像接收數據,其中該構件與在該基板處理系統之處理腔室之間或在該基板處理系統與一第二基板處理系統之間運送該基板相關聯,且其中該相機係沿著該基板處理系統中該基板於該等處理腔室之間的行進路徑設置; 輸入該等數據之一部份至一模型,該模型係經訓練以提供對操作該基板處理系統有用之一輸出;以及 透過該網路將該輸出從該模型傳送至該基板處理系統。
- 如請求項11所述之系統,其中回應於該圖像屬於該構件且該構件包括位於該基板處理系統之一裝載室中的一墊,該墊係用於支撐該基板、與該基板相關聯之一邊緣耦合環、或運送該基板之機器人,該模型之該輸出指示該墊的狀況並包含有關於該墊維修與更換之一或更多者的建議。
- 如請求項11所述之系統,其中回應於該圖像屬於該基板且該基板用於清潔該基板處理系統中該等處理腔室之一者期間,該模型之該輸出包括以下之一或更多者: 該基板是屬於清潔該基板處理系統中該等處理腔室之該一者期間所使用之類型的指示; 該基板之狀況的指示; 關於更換該基板之建議;以及 關於在該等處理腔室之該一者中選擇一配方的建議。
- 如請求項11所述之系統,其中回應於該圖像屬於該基板,該模型之該輸出包括以下之一或更多者: 該基板之類型的指示;以及 用於選擇一配方以處理該基板的指示。
- 如請求項11所述之系統,其中回應於該圖像屬於該基板,該模型之該輸出包括以下之一或更多者: 該基板之類型的指示; 在該等處理腔室中之一者中於該基板上執行之一製程的指示; 處理過該基板之該等處理腔室之該一者之狀況的指示;以及 用於選擇一配方以清潔處理過該基板之該等處理腔室之該一者的指示。
- 如請求項11所述之系統,其中回應於該圖像屬於該基板且由位於該基板處理系統之一裝載室中的該相機所擷取,該模型之該輸出包括以下之一或更多者: 由一機器人從處理過該基板之該等處理腔室之該一者運送該基板而該基板在該裝載室中之位置漂移的指示;以及 關於維修該機器人或處理過該基板之該等處理腔室之該一者的建議。
- 如請求項11所述之系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括以下之一或更多者: 該邊緣耦合環之一序號;以及 關於對該等處理腔室之該一者用具有一正確序號之一第二邊緣耦合環更換該邊緣耦合環的建議。
- 如請求項11所述之系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括以下之一或更多者: 該邊緣耦合環為不正確之指示; 與處理該基板相關之問題是由於該邊緣耦合環為不正確之指示;以及 對該等處理腔室之該一者用一正確的邊緣耦合環更換該邊緣耦合環的建議。
- 如請求項11所述之系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括以下之一或更多者: 該等處理腔室之該一者中之蝕刻速率的指示;以及 對用於該等處理腔室之該一者中之一配方進行調整的指示。
- 如請求項11所述之系統,其中回應於該圖像屬於該構件且該構件為與從該等處理腔室之一者接收到之該基板相關聯的一邊緣耦合環,該模型之該輸出包括: 調整用於該等處理腔室之該一者中之該邊緣耦合環與一配方之至少一者的指示,以回應於該邊緣耦合環對該等處理腔室之該一者為正確、該等處理腔室使用相同配方、且該邊緣耦合環比該基板處理系統中其他處理腔室中之邊緣耦合環呈現出更多的變化。
- 一種系統,包括: 一處理器;以及 一記憶體,其儲存由該處理器執行之指令用以: 從佈設於一基板處理系統中之一或更多相機接收數據,該基板處理系統包括複數製程模組以及一機器人,該機器人係配置成在該等製程模組之間及該基板處理系統與一第二基板處理系統之間運送基板;以及 基於從佈設於該基板處理系統中之該一或更多相機接收到的該等數據,自動配置該基板處理系統。
- 如請求項21所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動辨識安裝於該基板處理系統中之一或更多子系統,並基於該等經辨識之子系統以自動配置該基板處理系統。
- 如請求項21所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動辨識安裝於該基板處理系統中之一或更多子系統,並基於該等經辨識之子系統以自動配置該基板處理系統之一使用者介面。
- 如請求項21所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動配置該基板處理系統,以回應於該基板處理系統被開啟電源。
- 如請求項21所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動配置該基板處理系統,以回應於該基板處理系統被維修。
- 如請求項21所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動辨識被增加之一子系統或該子系統之一構件,並基於被增加之該經辨識子系統或該子系統之該構件以自動配置該基板處理系統。
- 如請求項21所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動辨識被移除之一子系統或該子系統之一構件,並基於被移除之該經辨識子系統及該子系統之該構件以自動配置該基板處理系統。
- 一種系統,包括: 一處理器;以及 一記憶體,其儲存由該處理器執行之指令用以: 從佈設於一基板處理系統中之一或更多相機接收數據,該基板處理系統包括複數製程模組以及一機器人,該一機器人配置成在該等製程模組之間及該基板處理系統與一第二基板處理系統之間運送基板;以及 基於從佈設於該基板處理系統中之該一或更多相機接收到的該等數據,自動辨識該基板處理系統中一或更多子系統的狀態。
- 如請求項28所述之系統,其中從該一或更多相機接收到的該等數據包括影音數據,其中該等指令使該處理器使用該等影音數據之即時處理來自動辨識一或更多子系統的該狀態。
- 如請求項28所述之系統,其中該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動追蹤該基板處理系統中之一物件之移動,並基於該基板處理系統中之該物件之該移動以自動辨識該基板處理系統中一或更多子系統之該狀態。
- 如請求項28所述之系統,其中回應於該狀態為一錯誤,該等指令使該處理器基於從該一或更多相機接收到的該等數據以自動校正該錯誤。
- 如請求項28所述之系統,其中該處理器配置成透過一網路與一遠端運算裝置通訊,且其中回應於該狀態為一錯誤,該等指令使該處理器基於透過該網路從該遠端運算裝置接收到的數據以自動校正該錯誤。
- 如請求項28所述之系統,其中該處理器配置成透過一網路將從該一或更多相機接收到的該等數據傳送至一遠端運算裝置,且其中該等指令使該處理器允許該遠端運算裝置基於從該一或更多相機接收到的該等數據以透過該網路控制該基板處理系統。
- 如請求項28所述之系統,其中該處理器配置成透過一網路而與一遠端運算裝置通訊,且其中該等指令使該處理器允許該遠端運算裝置控制該基板處理系統,且在該遠端運算裝置透過該網路控制該基板處理系統時不允許對該基板處理系統的人工控制。
- 如請求項28所述之系統,其中該處理器配置成透過一網路而與一遠端運算裝置通訊,且其中該等指令使該處理器在該基板處理系統被人工控制時不允許該遠端運算裝置控制該基板處理系統。
- 如請求項28所述之系統,其中回應於該狀態為與該等子系統之一者相關聯之一錯誤,該等指令使該處理器自動關閉該等子系統之該一者。
- 如請求項30所述之系統,其中該物件包括該機器人、該等基板之一者、與該等基板一起使用之一邊緣耦合環、該等製程模組之一者的門、該基板處理系統之一裝載室模組的門、或該基板處理系統之一裝載埠模組的門。
- 一種系統,包括: 一處理器;以及 一記憶體,其儲存由該處理器執行之指令用以: 透過佈設於一基板處理系統中之一單個相機接收從一邊緣耦合環擷取的數據; 使用三角交叉法處理該等數據,以產生代表該邊緣耦合環之一厚度輪廓的三維點雲;以及 基於該厚度輪廓確定該邊緣耦合環之腐蝕量。
- 如請求項38所述之系統,其中該等指令使該處理器確定該腐蝕量是否大於或等於一預定閾值,並產生該腐蝕量之指示。
- 如請求項38所述之系統,其中該等指令使該處理器透過比較該厚度輪廓與該邊緣耦合環首次安裝在該基板處理系統中時建立之先前三維點雲所產生之一先前厚度輪廓來確定該腐蝕量。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962879741P | 2019-07-29 | 2019-07-29 | |
US62/879,741 | 2019-07-29 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202123353A true TW202123353A (zh) | 2021-06-16 |
Family
ID=74230772
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109125238A TW202123353A (zh) | 2019-07-29 | 2020-07-27 | 用於基板處理系統的自動化控制及檢測之整合式硬體軟體電腦視覺系統 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20220270901A1 (zh) |
JP (1) | JP2022542946A (zh) |
KR (1) | KR20220041890A (zh) |
CN (1) | CN114450780A (zh) |
TW (1) | TW202123353A (zh) |
WO (1) | WO2021021501A1 (zh) |
Families Citing this family (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11370114B2 (en) * | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
US11894250B2 (en) * | 2020-03-31 | 2024-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for recognizing and addressing plasma discharge during semiconductor processes |
US11688616B2 (en) | 2020-07-22 | 2023-06-27 | Applied Materials, Inc. | Integrated substrate measurement system to improve manufacturing process performance |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7085622B2 (en) * | 2002-04-19 | 2006-08-01 | Applied Material, Inc. | Vision system |
JP6368453B2 (ja) * | 2011-06-24 | 2018-08-01 | 株式会社日立国際電気 | 基板処理装置、及び基板処理装置のデータ解析方法並びにプログラム |
US20170263478A1 (en) * | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
US10041868B2 (en) * | 2015-01-28 | 2018-08-07 | Lam Research Corporation | Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber |
US10895539B2 (en) * | 2017-10-20 | 2021-01-19 | Lam Research Corporation | In-situ chamber clean end point detection systems and methods using computer vision systems |
-
2020
- 2020-07-22 WO PCT/US2020/042954 patent/WO2021021501A1/en active Application Filing
- 2020-07-22 CN CN202080068163.7A patent/CN114450780A/zh active Pending
- 2020-07-22 JP JP2022506066A patent/JP2022542946A/ja active Pending
- 2020-07-22 KR KR1020227006731A patent/KR20220041890A/ko active Search and Examination
- 2020-07-22 US US17/629,014 patent/US20220270901A1/en active Pending
- 2020-07-27 TW TW109125238A patent/TW202123353A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
CN114450780A (zh) | 2022-05-06 |
JP2022542946A (ja) | 2022-10-07 |
WO2021021501A1 (en) | 2021-02-04 |
KR20220041890A (ko) | 2022-04-01 |
US20220270901A1 (en) | 2022-08-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20220344184A1 (en) | Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry | |
CN107689318B (zh) | 监测等离子体处理系统和工艺与工具控制的方法和系统 | |
TW202123353A (zh) | 用於基板處理系統的自動化控制及檢測之整合式硬體軟體電腦視覺系統 | |
JP7291255B2 (ja) | 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法 | |
KR102546407B1 (ko) | 반도체 제작 장비 내의 소모성 부품의 마모 검출 | |
TWI778348B (zh) | 用於改善的機器人的關節座標教示精度的設備、系統、及方法 | |
CN112074940A (zh) | 结合有集成半导体加工模块的自感知校正异构平台及其使用方法 | |
CN112106182A (zh) | 结合有集成半导体加工模块的自感知校正异构平台及其使用方法 | |
CN109637947B (zh) | 用于使半导体制造设备的用户交互自动化的系统和方法 | |
JP7238955B2 (ja) | 熱処置装置の状態監視装置、熱処理装置の管理方法及び記憶媒体 | |
CN106024662A (zh) | 使用喷头电压变化的故障检测 | |
US10895539B2 (en) | In-situ chamber clean end point detection systems and methods using computer vision systems | |
KR101967359B1 (ko) | 기판 처리 장치, 장치 관리 컨트롤러 및 프로그램 | |
JP2021525947A (ja) | プラズマ処理ツールにおける画像に基づくプラズマシースプロファイル検出 | |
US20230236569A1 (en) | Estimation of chamber component conditions using substrate measurements | |
TW202240734A (zh) | 多步驟半導體製造程序中的機器學習 | |
TW202336899A (zh) | 具有充電組件的外殼系統 | |
JP7510436B2 (ja) | 基板処理システムのためのモデルベースのスケジュール設定 | |
TW202330377A (zh) | 用於將感測器與傳輸腔室機器人耦合的方法和機構 | |
CN117836922A (zh) | 用于无接触处理腔室表征的方法及机制 | |
CN117916871A (zh) | 用于将传感器耦合至传输腔室机器人的方法和机构 |