JP7068784B2 - プラズマ処理システムを監視するための方法およびシステム、ならびに高度なプロセスおよびツール制御 - Google Patents

プラズマ処理システムを監視するための方法およびシステム、ならびに高度なプロセスおよびツール制御 Download PDF

Info

Publication number
JP7068784B2
JP7068784B2 JP2017149669A JP2017149669A JP7068784B2 JP 7068784 B2 JP7068784 B2 JP 7068784B2 JP 2017149669 A JP2017149669 A JP 2017149669A JP 2017149669 A JP2017149669 A JP 2017149669A JP 7068784 B2 JP7068784 B2 JP 7068784B2
Authority
JP
Japan
Prior art keywords
chamber
plasma processing
performance
substrate
tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017149669A
Other languages
English (en)
Other versions
JP2018026558A (ja
Inventor
リチャード・アラン・ゴットショ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018026558A publication Critical patent/JP2018026558A/ja
Application granted granted Critical
Publication of JP7068784B2 publication Critical patent/JP7068784B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Epidemiology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Arc Welding In General (AREA)
  • Chemical Vapour Deposition (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Description

本出願は、参照により本明細書に援用する、2016年8月3日出願の「Methods and Systems for Monitoring Plasma Processing Systems and Advanced Process and Toll Control」という名称の米国仮出願第62/370,658号に対する優先権を主張する。
本発明の実施形態は、プロセスツールの内部領域を検査し、捕捉された情報を使用して、プロセスツールによって実行されているプロセスの1つまたは複数のパラメータに対する調節を能動的に行うために使用されるツールモデルを構築するための方法およびコンピュータ実装プロセス、ならびに、ツールモデルデータを使用してプロセス性能を予測し、能動的な調節を実行するための方法に関する。さらに、本明細書で述べる方法は、ツール湿式洗浄操作間でのプロセスツールの有用な動作を延長するのに有用な検査技法に関する。
プラズマは、電子製品(例えば集積回路またはフラットパネルディスプレイ)を形成するために、基板(例えばウェハまたはフラットパネル)を処理するために長年採用されている。半導体ウェハは、典型的には、下にある材料のエッチングを指示するためにフォトレジストマスク層を備えて、エッチングチャンバ内に配置される。エッチングプロセスは、フォトレジストによって覆われていない下にある材料を除去する。また、エッチングプロセスは、プラズマチャンバ内の部品の表面から物質を除去することもある。時間と共に、処理チャンバ内の部品は、粒子状物質および/またはエッチング残渣を蓄積することがあり、これは、エッチング性能を変えることがある。このために、消耗部品を交換する必要性に加えて、定期的に(チャンバの内面の)湿式洗浄操作を行う必要もある。
湿式洗浄後、チャンバは、様々なステップ/プロセスによって再調整され(すなわち湿式洗浄リカバリのために処理され)なければならず、その後、チャンバが製造ウェハ処理を行うことを可能にされる。その結果、湿式洗浄リカバリが問題となる。また、ツールをマッチングさせることも難しく、ますます難しくなっている。ツールマッチングは、エッチングレートモニタのマッチングの問題であるだけでなく、プロファイル、プロファイルの均一性、CD、欠陥などの問題でもある。その結果、湿式洗浄は、良好に実行されるときでさえ長時間かかり、計測を待機する長いアイドル時間を伴う。この時間中、エネルギー消費が無駄になる。作製生産時間も失われる。
湿式洗浄リカバリ手順の一部は、チャンバをシーズニングすることを含む。このプロセスは、定常状態に近い表面状態を生成することを試みる。定常状態が実現されるとき、溶液が不安定になる傾向があり、すなわち、プロセス毎またはチャンバ毎に常に普遍的であるとは限らない。これは、高いコストがかかる再開発手順を必要とする。おそらくさらに悪いことに、シーズニング自体が、全体のツール利用時間のかなりの部分となり得て、例えば、40時間のシーズニングに対して、プロセスは150~250時間しか行われない。明らかに、これは、やはりエネルギー消費の無駄、ウェハをシーズニングするコスト、および単にシーズニングするために摩耗するときの消耗品の高コストに加えて、生産性に対する打撃となる。
ウェハレス自動洗浄(WAC)およびプレコートも同様の問題を有する。複合された実行、安定した操作、少ない欠陥、少ない金属汚染を実現するために、システムは時として過剰に洗浄され、過剰にプレコートされる。レシピおよびウェハスタックが流動的であるときには手順を最適化するのが複雑になりすぎるので、これらの手順の最適化は、必然的に開発サイクルの最後の方で行われる。
この文脈で、いくつかの実施形態が生じる。
プラズマチャンバの内部の状態を検査し、表面の状態を検査し、閉ループ制御を提供してレシピのパラメータを調節し、予想される性能窓内で処理性能を維持するために使用されるツールモデルを構築するための方法、デバイス、システム、およびコンピュータプログラムが提示される。いくつかの実施形態を以下に述べる。
一実施形態では、プラズマ処理チャンバを操作するための方法が提供される。この方法は、プラズマ処理チャンバ内の基板を真空下で処理することを含む。前記基板の処理は、プラズマ処理チャンバの内部領域内の表面に付着する粒子状残渣を生成する。この方法は、基板の処理の性能を特徴付けるステップと、前記真空を破壊することなく前記基板を処理した後にプラズマ処理チャンバの内部領域を検査するステップとを含む。検査は、プラズマ処理チャンバの内部領域の1つまたは複数の表面での前記粒子状残渣の特性を識別するように構成される。検査は、前記1つまたは複数の表面の光学データを捕捉することを含む。この方法は、特徴付けられた基板の処理の性能を、特徴付けられた粒子状残渣に相関付けるためにツールモデルを生成するステップをさらに含む。一構成では、検査を複数回繰り返すことによってツールモデルが最適化される。次いで、ツールモデルは、その後、チャンバの内面を検査し、閉ループ制御を提供して、ツールモデルから識別されたレシピの予想される性能に基づいて、レシピの少なくとも1つのパラメータを調節するために使用可能である。
別の実施形態では、プラズマ処理チャンバを操作するための方法が提供される。この方法は、プラズマ処理チャンバ内の基板を処理するためのレシピを識別することを含む。レシピは、供給すべき化学物質に関するパラメータと、前記処理に使用すべきプラズマ処理チャンバの状態とを識別し、前記処理が、所定レベルの性能を生成するように構成される。この方法は、プラズマ処理チャンバの内部領域をスコープで検査して、前記内部領域内の表面の物理的状態を決定することを含む。次いで、プラズマ処理チャンバのためのツールモデルを参照する。ツールモデルは、前記基板を処理するために使用されるレシピに関連付けられる。また、この方法は、レシピの前記パラメータの少なくとも1つを、検査に基づいて決定された物理的状態に対応するツールモデルによって提供される情報に基づいて調節するステップも含む。調節は、所定レベルの性能の外にある性能の測定基準のドリフトを実質的に防止するように構成される。
さらに別の実施形態では、プラズマ処理システムが開示される。このシステムは、基板を処理するときにプラズマに露出される内部領域を有するチャンバを含む。内部領域は、チャンバの構造の表面と、チャンバの消耗部品の表面とを有する。制御装置が、基板を処理するときに使用すべきレシピの処理を制御するためにチャンバとインターフェースされ、レシピは、化学物質の供給に関して設定すべき複数のパラメータと、チャンバの設定条件とを定義する。検出器モジュールは、スコープの制御を可能にするためにチャンバとインターフェースされる。スコープは、チャンバの真空を破壊することなくチャンバの内部領域を検査するためにチャンバに挿入されるように構成される。検出器モジュールは、チャンバの前記内部領域の表面に向けた光の投射を制御して、反射光を検出するための光学処理装置を含む。反射光は、光学処理装置によって処理されて、スコープを介して検査される表面に存在する物質の特性を識別する。位置決めシステムが、スコープをチャンバの内部領域の1つまたは複数の位置に移動させるように提供される。位置決めシステムは、基板の処理の合間にスコープを内部領域に移動させ、基板の処理中にスコープを内部領域から外に移動させるように構成される。表面に存在する物質の識別された特性に関する情報と、1つまたは複数の基板の検査から得られたレシピのプロセス性能に関する情報とを受信して、ツールモデルを構築するために、ツールモデル処理装置が提供される。ツールモデルは、表面に存在する物質の特性と、レシピのプロセス性能に関する情報との相関を保守する。ツールモデルは、1つまたは複数の追加の基板を処理するときにツールモデル処理装置によって更新される。
ツールモデルは、構築されると、処理中に1つまたは複数の基板を定期的に検査し、レシピの1つまたは複数のパラメータに対する閉ループ制御調節を可能にするためにさらに使用可能である。レシピを調節することにより、チャンバ内の表面の状態が絶えず変化しているとしても、所定レベルの性能または窓内で基板の処理を維持することが可能になる。有利には、ツールモデルの使用は、次の湿式洗浄が行われるまでのチャンバの動作期間をより長くすることをさらに可能にする。
他の態様は、以下の詳細な説明を、添付図面と共に読めば明らかになろう。
本発明の実施形態は、添付図面と共に以下の説明を参照することによって最も良く理解され得る。
一実施形態による、半導体ツールがプラズマ処理システムの一部であるブロック図である。 一実施形態での、半導体ツールの一部を画定するチャンバの例示的な図である。 チャンバによる処理操作の結果として物質粒子および/またはポリマー残渣蓄積を有する例示的なチャンバ部分を示す図である。 位置決めシステムが、チャンバ部品の表面データを捕捉するためにスコープを移動するように構成された実施形態を示す図である。 位置決めシステムが、チャンバ部品の表面データを捕捉するためにスコープを移動するように構成された実施形態を示す図である。 位置決めシステムが、チャンバ部品の表面データを捕捉するためにスコープを移動するように構成された実施形態を示す図である。 位置決めシステムが、チャンバ部品の表面データを捕捉するためにスコープを移動するように構成された実施形態を示す図である。 いくつかの実施形態による、スコープをチャンバ内の様々な位置に移動させる位置決めシステムの例を示す図である。 いくつかの実施形態による、ツールモデルを構築および使用するために実施することができるプロセス操作の流れ図である。 一実施形態による、洗浄操作間の基板の処理中に利用される閉ループ制御の例を示す図である。 一実施形態による、洗浄操作間の基板の処理中に利用される閉ループ制御の例を示す図である。 一実施形態による、ツールモデルを構築または洗練するために使用されることがある操作の流れ図である。 一実施形態による、特定のレシピに関するグローバルツールモデルを生成する例を示す図である。 一実施形態による、プラズマ処理システムとインターフェースされた制御装置またはコンピュータによって生成されることがあるユーザインターフェースの例を示す図である。 半導体ツールによって利用されるプロセスパラメータを能動的に変えて、処理を最適化し、湿式洗浄操作間の時間を延ばすために使用可能なループ制御のブロック図である。 いくつかの実施形態を実施するためのコンピュータシステムの簡略化された概略図である。
以下の実施形態は、プラズマ処理システム、特に半導体基板、例えばウェハを処理するために使用されるプラズマ処理ツールを監視するための方法、デバイス、システム、およびコンピュータプログラムを述べる。
一実施形態では、ウェハの湿式洗浄および製造が行われた後にチャンバ内で生じている状態について、物理的モデル(すなわちツールモデル)が構築される。一例では、ツールモデルは、表面(新規および/または洗浄後のものでよい)、または配置された部品、または使用された部品を特徴付けるためのものである。ツールモデルは、基板がプラズマ処理された後の表面の変化を考慮に入れる。この変化は、例えば、物質の蓄積、表面に蓄積する反応副生成物、ならびに例えば摩耗および/またはテクスチャによる表面特性の変化により生じる。さらに、表面反応が生じることがあり、表面反応は、ウェハへの反応種(例えばイオン、ラジカル、電子)の流れを決定する。
一実施形態では、ツールモデルは、表面の初期状態、プロセス化学反応、リアクタ幾何形状、および他の特性の関数となる1群のランプトパラメータに単純化することができる。次いで、検査のためにチャンバに挿入されたスコープによって収集された多量のデータを使用して、ツールモデルを較正することができる。時間と共に、例えばより多数のウェハを処理した後に、より多くのデータを収集することができ、これは、ツールモデルの最適化を支援することができる。いくつかの実施形態では、追加のデータを収集するためにチャンバの他のセンサを使用することもできる。これらのセンサは、例えば、VATバルブ、発光分光分析(OES)スペクトル、プローブによる電流/電圧(IV)特性、高調波成分などを含むことができる。これらのデータ測定はリアルタイムでよく、ツールモデルの性能を洗練するためにツールモデルに提供することができる。いくつかの実施形態では、機械学習アルゴリズムを実装して、較正労力を最小限にすること、および/または物理的なチャンバのツールモデルを単純化することができる。
いくつかの実施形態では、ツールモジュールを定義するためにツールが較正される場合、このプロセスは、好ましくは、現在のツールシーズニング手順よりも時間がかからない。後述するように、本明細書で述べるように較正されたツールモデルを使用して、その場で(on the fly)レシピを調節することができ、それにより、短い較正/シーズニングの後に、最小のWAC/プレコート/シーズニングで製造を実行することができ、許容できるプロセス性能が実現される。
いくつかの実施形態では、スコープを使用して、プロセスツールの物理的特性を検出して、プロセスツール内の表面での残渣(例えばプラズマ処理ポリマー)の物質蓄積を識別し、そのような残渣の均一性または不均一性を識別し、プロセスツール内の消耗部品の摩耗レベルを識別し、物理的ツール特性を識別する。この情報は、一実施形態では、基板処理操作の合間に、例えば基板が取り外される時間中、および次の基板がプロセスツールに導入される前に検出される。基板がプロセスツール内で処理され続けるとき、残渣蓄積の物理的変化、残渣の均一性、残渣の不均一性、および部品の摩耗を特徴付ける測定基準が、分析のためにデータベースに保存される。
一実施形態では、データベースはまた、プロセス性能に関係する測定基準、例えばエッチングレートの均一性、限界寸法(CD)性能、および時間に伴う関連の性能ドリフト、ならびに他のパラメータの収集のために構成される。ツールおよびプロセス性能の物理的変化に関係付けられる測定基準の分析は、ツールモデルの構築を可能にする。ツールモデルは、特定の表面、部品、摩耗、残渣蓄積、残渣特性などの変化がプロセス性能に及ぼす影響を学習することによって、時間と共に強化された関係を生成するように構成される。より多数の基板が処理されるにつれて、ツールモデルは洗練し続け、得られるプロセス性能に対する特定の物理的変化のより正確な相関を可能にする。一実施形態では、ツールモデルは、プロセスパラメータを調節するための動的フィードバックのために使用される。
例として、プロセス性能の調節をもたらすために、プロセスパラメータの調節を自動的に実施または推奨することができる。プロセスパラメータは、例えば、特定のエッチャントの流量、圧力設定、出力レベル、または温度設定などでよい。したがって、時間と共にツールモデルの一部として集められた情報を利用することによって、より長い動作期間にわたってプロセス性能が所望の範囲内に留まる(例えば、次の洗浄操作および/または消耗部品交換が必要となる前に、より多数の基板の処理を可能にする)ように、プロセスツールのプロセスパラメータを動的に調節することが可能である。
一実施形態では、半導体製造において今日一般的に行われているように大気に対してチャンバを開くことなく、スコープを使用してプラズマチャンバの内部を検査する。大気に対してチャンバを開放すると、生産性が失われ、しばしば、部品の寿命と洗浄時間間隔(TBC)とが同期されていない場合には消耗部品が時期尚早に交換される。さらに、大気に対してチャンバが開かれるとき、チャンバ壁の堆積物は、典型的には、酸素、窒素、および水などの大気成分との反応によって変性される。上述したように、本明細書で述べる実施形態は、例えば湿式洗浄サイクルおよび/またはウェハレス自動洗浄(WAC)間の複数の基板の処理中にプラズマチャンバがどのように変化するかを特徴付けるツールモデルを述べる。一実施形態では、ツールモデルは、大気に対してプロセスチャンバを開くことなくプロセスチャンバの内部を特徴付ける。
上述したように、チャンバが湿式洗浄サイクル間にどのように変化しているかを監視することにより、粒子状物質(例えばエッチング副生成物/残渣)がプラズマチャンバの内部の特定の部分および/または位置にどのように付着しているか(そのような粒子状物質の付着は挙動している)、チャンバ内のどのような幾何形状がより多いまたはより少ない粒子状物質を蓄積する可能性が高いか、および/または、チャンバ内の特定の幾何形状がどのように残渣の蓄積に関連しているかを特徴付ける、または識別する測定基準を生成することができる。さらに、本明細書で述べる実施形態は、粒子状物質の蓄積や消耗部品の摩耗または故障などの進行を正確に追跡するために、各基板の処理後のスコープの使用を可能にする。他の実施形態では、特定数の基板が処理された後に定期的にスコープを使用することができる。さらに他の実施形態では、多数の基板が処理された後にスコープを使用することができる。別の実施形態では、例えばチャンバの物理的内面を特徴付けることを操作者が必要とするときに、必要に応じてスコープを使用することができる。
一実施形態では、(例えば基板の移入および移出中に)プロセスチャンバを真空状態に保ったまま、スコープがプロセスチャンバに挿入される。別の実施形態では、処理ツール内の1つまたは複数の所定の表面、部品、物体、または領域をキャプチャするために、スコープは、例えば、様々な角度および視線方向で挿入および位置決めされ、走査され、離散的に配置され、ラスタ走査され、調節され、または同調されてよい。一実施形態では、スコープは、(例えば光ファイバを介する)光源を備えることができる。光源は、光検出器を含むことができ、光検出器は、光学処理装置によって処理されるデータを生成する。一実施形態では、スコープは、画像源(例えば、光ファイバを介して結合されたカメラ)を含むこともでき、画像源は、画像処理装置によって処理されるデータを生成する。いくつかの実施形態では、スコープは、光学カメラ、撮像カメラ、SIM(走査型電子顕微鏡)、RGBカメラ、赤外線カメラ、深度検知カメラ、または反射光の色もしくは相違を検出することができる任意の他のカメラのうちの1つを含んでいてよい。
スコープは、一実施形態では、ケーブルを介して、または無線信号を介して、位置決めシステムによって遠隔制御することができる。一実施形態では、プラズマがオフであってウェハが交換されている間にスコープがプロセスツールに入ることを可能にするために、隔離弁が使用される。上述したように、スコープによって多量の情報を取得することが可能であり、この情報を使用してチャンバ状態を制御して、湿式洗浄または点検間の最小のばらつきおよび最長の時間を保証することができる。
一実施形態では、スコープは、光源を使用して光波信号を放出し、光センサを使用して表面の反射を収集するように構成される。光センサは、光学処理装置による処理のために、検知された情報を光検出器に通信する。光学処理装置は、光が集束された表面の領域からの入射放射強度およびスペクトルに正規化された、波長に対する反射光強度を分析するように構成される。この情報を使用して、一実施形態は、粒子状物質の膜厚および膜組成を推定することを含む。一実施形態では、使用される波長は、電磁スペクトルにわたることができる。
具体的な一例では、波長は、紫外-赤外(UV-IR)波長である。UV-IR波長は、チャンバ壁での化学組成など、膜特性に関する包括的な情報を提供すると考えられている。そのようなデータを用いて、次の湿式洗浄を行う前に基板処理を終了すべき時を最適化することが可能である。広範に言うと、ツールモデルを使用して、粒子状物質の蓄積および消耗部品の摩耗によりチャンバ表面の物理的特性が変化するときに、プロセス性能に関するプロセスレシピの挙動を学習および予測する。次いで、この情報を使用して、プロセスシフトおよびドリフトに相関する信号を提供することができる。次いで、そのような信号は、閉ループ制御スキームで、対応するプロセスシフトおよび/またはドリフトを生じさせた壁での残渣蓄積の影響を補償するようにレシピパラメータを調節することによって使用することができる。このようにして、プロセス窓を拡げることができ、洗浄時間間隔を延長することができる。
チャンバ壁で反射された光のスペクトル情報に加えて、チャンバの各露出領域の画像を収集し、不均一なコーティングの摩耗、残渣蓄積、不均一なプレコートなどについて分析することができる。上述したように、スコープはまた、画像処理装置によって処理される画像データを収集するカメラを含むこともできる。画像処理装置は、複数の撮像セッションにわたって収集された画像を収集することができ、次いで、それらの画像を合わせて、残渣の蓄積および/または消耗部品の摩耗の変化に関する時間ベースの履歴にすることができる。一構成では、光源および検出器を回転と同時に並進させることによって、チャンバの一部、特定の領域、特定の部分、またはチャンバの内部全体を画像プロファイル化することができる。一実施形態では、そのような情報を使用して、消耗部品の寿命を延ばすために設計(例えば、幾何形状、材料、位置、サイズなど)を変更することもできる。
さらなる実施形態では、チャンバの故障を予測し、それにより、どの部分が故障しているかに関する特定の情報を用いて予防保全をトリガすることも可能である。そのような可能性は、パターン欠陥検出によって実現され、すなわち、元のピクチャが後続のピクチャと比較され、相違が現れた場合には、アラームを設定することができ、または傾向を追跡することができる。
そのような撮像データが、上述したスペクトルデータ、ならびに他のセンサおよび機器の監視データ(例えば、圧力制御弁の位置、圧力、発光データ、ウェハからのリアルタイム反射率計データ、反射電力、壁温度、ウェハ温度など)と組み合わされるとき、多変量アルゴリズム、またはニューラルネットワークなどの自己学習プログラムを利用することができる。一実施形態では、ツールモデルは、プロセスノルムからの偏差を識別または認識するために、時間と共に洗練される、および/または訓練されるように構成される。次いで、閉ループ制御アルゴリズムを適用して、チャンバ状態の変化を調節し、それにより、ウェハ間、ロット間、チャンバ間のばらつきを低減し、湿式洗浄の時間間隔を延ばし、プロセス窓を広げることができる。これらのデータを、上流および下流のツールならびに計測ステーションからの工場全体のデータと組み合わせて、多変量プロセス制御の制御機能を向上させることもできる。
本発明の実施形態が、これらの特定の詳細のいくつかまたは全てを伴わずに実施されてもよいことは明らかであろう。なお、本発明の実施形態を不要に曖昧にしないように、よく知られているプロセス操作については詳細には述べていない。
図1は、一実施形態による、半導体ツール102がプラズマ処理システム100の一部であるブロック図を提供する。この例では、半導体ツール102は、基板104を処理するように構成されており、基板104は、半導体ツール102への、および半導体ツール102からの基板の送達を取り扱うロボットによって半導体ツール102に導入される。典型的には、システム内の真空を維持したままで半導体ツール102内外への基板104の移送を可能にするために、ロードロックおよびゲートバルブが使用される。様々な構成において、半導体ツール102は、真空移送モジュールを含むことができるクラスタツールの一部である。真空移送モジュールは、典型的には、半導体ツール102を取り付けることができる様々な面を有する。
半導体ツール102のタイプは、基板104において実施される製造操作に応じて様々でよい。例として、半導体ツール102は、プラズマ処理システムでよく、エッチング操作に利用されてよい。他の実施形態では、プラズマ処理システムを堆積操作に利用することができる。一般に、チャンバ構成は、実施すべきプラズマプロセス操作に応じて、そのプロセスに合わせて最適化される。例えば、様々なタイプのエッチングチャンバが存在し、エッチングチャンバは、エッチングされる材料のタイプに合わせて最適化されてよい。
エッチングチャンバの中には、基板に配設された金属材料をエッチングするために最適化されるものや、基板に配設された誘電体材料をエッチングするために最適化されるものがある。いずれの構成でも、半導体ツール102がエッチングツール、堆積ツール、または他のタイプの処理ツールのどれであっても、半導体ツール102の内面が粒子状残渣の蓄積を受け、蓄積は、より多数の基板104の処理が行われるにつれて時間と共に増加する。さらにまた、半導体ツール102内の特定の構造が摩耗を受け、定期的に交換を必要とすることがある。これらの構造は、典型的には消耗部品と呼ばれ、これらは、多くの場合、特定の間隔で交換される。消耗部品が交換される間隔は様々でよいが、典型的には、交換は、消耗部品の予想寿命に基づいて定期的に行われる、および/または検査もしくは洗浄のために半導体ツール102が開かれたときに物理的検査後に行われる。
引き続き図1を見ると、半導体ツール102がプロセスパラメータ/設備106とインターフェースされていることが示されている。設備は、典型的には、エッチングガス、不活性ガス、堆積ガス、および真空を供給するために使用されるガス供給源、冷却流体、温度制御機構、電源、ならびに他の構造的および機械的システムを含む。制御装置109は、典型的にはプラズマ処理システム100の一部であり、プロセスパラメータおよび設備106の動作を制御し、また半導体ツール102とインターフェースする。制御装置は、プラズマ処理システム100の他の構成要素と通信することができ、例えば設定された処理レシピに従って操作プロセスが実施されることを保証する。
一実施形態では、半導体ツール102は、スコープ156を備えるように構成され、スコープ156は、隔離弁103を通して半導体ツール102に挿入することができる。スコープ156は、半導体ツール102内の表面の検査を行うために半導体ツール102の内部に到達することができるアーム150に接続される。アーム150は、いくつかの機械的ジョイントを備えるように構成することができ、機械的ジョイントは、半導体ツール102内に様々な角度および視点でスコープ156を配置することを可能にする。スコープ156は、位置決めシステム107に結合され、位置決めシステム107は、隔離弁103を通してアーム150を半導体ツール102内に押し込むことができる。位置決めシステム107は、スコープ156の回転、スコープ156の旋回、スコープ156の角度決定、スコープ156の走査、スコープ156のラスタ走査、スコープ156を使用する抜取り検査、および他の位置機能を支援することができる。また、アーム150を介してスコープ156に結合された検出器モジュール108も示されている。
検出器モジュール108は、後述するように、スコープ156を介して1つまたは複数のタイプのデータ収集を行うように構成される。データ収集は、例えば、半導体ツール102のチャンバ内の表面および表面に付着した物質から反射されたスペクトルデータを捕捉することを含むことができる。別の実施形態では、データ収集は、半導体ツール102のチャンバ内の表面および構造フィーチャの画像データを捕捉することも含むことができる。
半導体ツール102による基板104の処理を1つまたは複数の分析ツールによって分析して、プロセス性能105を生成することもできる。例として、半導体ツール102によって処理される基板は、例えばエッチング操作によって特定の限界寸法を有するフィーチャを形成する性能について分析することができる。他の性能分析は、エッチング操作の均一性を決定することを含むことができる。他の性能分析は、基板の表面にわたるエッチングレートの偏差を決定することを含むことができる。他の性能分析は、エッチング操作が所望のアスペクト比を実現しているかどうかを判定することを含むことができる。他の性能分析は、特定のエッチング操作が実施された後に材料層の厚さを決定することを含むことができる。他の性能分析は、特定のフィーチャに関するエッチング深さが実現されているかどうかを判定することを含むことができる。他の性能分析は、フィーチャ崩壊が起こっているかどうかを判定することを含むことができる。他の性能分析は、ウェハの中心でのエッチング性能をウェハの縁部と比較することを含むことができる。他の性能分析は、ウェハの縁部除外領域に近接するフィーチャを検査することを含むことができる。
半導体ツール102が堆積ツールである場合、堆積操作の効率を特徴付けるために他のタイプの性能分析を行うことができる。当業者には理解されるように、プロセス性能は、多くの様式で分類することができ、予想される結果に基づいて分類することができる。性能分析特性および分析結果の上記の列挙は例にすぎない。より多くの異なるタイプの性能分析測定基準を定義することができ、特定のレシピまたは所望の結果にカスタマイズすることができる。
さらに図1を参照すると、半導体ツール102の検査後に検出器モジュール108によって得られた結果は、半導体ツール102によって実現されるプロセス性能105と共に、ツールモデル処理装置に転送することができる。ツールモデル処理装置112は、検出器モジュール108によって半導体ツール102の表面で物理的に検出される特性に関してプロセス性能105を追跡するように構成される。例えば、検出器モジュール108は、半導体ツール102内の物理的構造、およびそのような構造での粒子状物質および残渣の関連の蓄積に関連付けられる特徴付けデータを生成してよい。
一実施形態では、より多数の基板104が半導体ツール102によって処理されるとき、検出器モジュール108は、例えば特定の基板104の処理後に検査を行うことができる。上述したように、スコープ156を使用する検査は、基板104が処理された後、例えばウェハ移送操作中、および次の基板が半導体ツール102に導入される前に行うことができる。
一実施形態では、検出器モジュール108は、各基板104が処理された後に半導体ツール102を検査することができる。別の実施形態では、検出器モジュール108は、いくつかの基板104が処理された後に半導体ツール102を検査することができる。さらに別の実施形態では、検出器モジュール108は、多数のウェハが処理された後に半導体ツール102を検査することができる。さらに、代替形態として、検出器モジュール108は、所定数のロットまたはウェハが処理された後に半導体ツール102を検査することもできる。
いくつかの実施形態では、半導体ツール102は、必要に応じて検出器モジュール108によって検査することができる。例えば、プロセス性能105のシフトまたはドリフトを操作者が検出した場合、操作者は、半導体ツール102の真空状態を破壊することなく、半導体ツール102の検査を行うよう検出器モジュール108に命令することができる。
引き続きツールモデル処理装置112によって行われる処理に関して、ツールモデル処理装置112は、処理された性能パラメータおよび測定基準を半導体ツール102内の特定の状態に関連付けるように構成される。例えば、エッチングツール内にライナが存在することが一般的であり、そのようなライナは、エッチング副生成物および残渣の蓄積を受けることが知られている。エッチング副生成物および残渣の蓄積が生じるとき、プロセス性能105の変化を識別して相関付けることが可能である。
ツールモデル処理装置112は、一実施形態では、使用されるプロセスパラメータに対して半導体ツール102の性能を関連付けるなど、より詳細なレベルでプロセス性能105を関連付けることができる。例えば、エッチングレシピは、RF電力、バイアス電力、ガス圧、温度、エッチャントガスの流量、不活性ガスの流量など、特定のプロセスパラメータに関連付けることができる。これらのタイプのパラメータはそれぞれ、特定のエッチングレシピに関連付けられる。一実施形態では、エッチングレシピのパラメータとの関連付けおよび相関付けによってプロセス性能105を追跡することが可能である。例として、ポリマー、微粒子、および他の物質の蓄積がチャンバ部品の表面に生じるとき、プロセスのドリフトまたはシフトを検出することができる。ツールモデル112は、時間と共に、半導体ツール102内の表面の変化を分類し、得られたプロセス性能105に相関付ける。
したがって、ツールモデル処理装置112によって、半導体ツール102に関してツールモデルが生成される。ツールモデルは、検出器モジュール108によって検出された特定の状態を前提として、将来の処理における半導体ツール102によるプロセス性能105の変化を予測する情報を有するように構成される。一実施形態では、より多数のウェハが処理されるとき、半導体ツール102内の検出された状態とプロセス性能105との相関付けが続く。この相関付けを継続して実施することによって、ツールモデルは洗練されて、半導体ツール102内の部品、表面、および物質の状態が検出されたときに特定のプロセスレシピに関して何が生じるか、または何がプロセス性能105に影響が及ぼすかをより正確に表現することができる。
プロセスドリフト分析器114を利用して、ツールモデル処理装置112から情報を受信することができ、これにより、プロセス性能105にプロセスドリフトが生じた時を識別して、そのプロセスドリフトを半導体ツール102内の特定の状態に関連付けることが可能である。一実施形態では、パラメータ調節モジュール116を使用して、検出されたプロセスドリフトを相殺するために調節され得るプロセスレシピの特定のパラメータを識別する。パラメータ調節モジュール116は、例えば、プロセス性能105の検出されたドリフトを相殺するためにチャンバの圧力を増加させる必要性を識別することができる。
プロセスパラメータ/設備106および半導体ツール102と通信する制御装置109は、半導体ツール102に供給される圧力に対する調節を行うことができる。制御装置109に戻るこの制御は、閉ループ制御110と見なされる。したがって、閉ループ制御110は、検出器モジュール108およびプロセスパラメータ105からの情報を連続的に監視または受信するように構成される。したがって、ツールモデル処理装置112によって取り扱われるツールモデルを使用して、プロセスドリフト分析器114によってプロセスドリフトを識別し、次いで、パラメータ調節モジュール116を使用して、調節する特定のパラメータを識別して、プロセス性能105のドリフトを補償する。いくつかの実施形態では、多数の基板104を処理した後にツールモデルが洗練されているとき、そのツールモデル自体を使用して、検出器モジュール108によって提供される半導体ツール102の表面の変化を相関付けて検出し、調節を行うべきパラメータを識別し、その後、プロセス性能105をさらに分析することができる。
一実施形態では、ツールモデルの構築を可能にするために、ある期間にわたってプロセス性能105を識別することができる。ツールモデルが構築されて、安定であるとみなされると、システムにおいて、検出器モジュール108が半導体ツール102の内面を検査し、次いでプロセス性能105がどのようなものになるかを識別および予測しさえすればよい。プロセスドリフト分析器104は、単に、ツールモデル処理装置112によって取り扱われるツールモデルから予想されるプロセスドリフトを識別することができ、パラメータ調節モジュール116によって調節すべき1つまたは複数のパラメータを識別することができる。したがって、ツールモデルは、構築された後、検出器モジュール108と共に利用して、プロセス性能の変化を予測し、特定のレシピに関する1つまたは複数のパラメータを調節するための閉ループ制御110を提供することができることを理解すべきである。
いくつかの実施形態では、ツールモデルは、プロセス性能105を識別するために分析することができる複数のテスト基板を使用して構築することができる。次いで、プロセス性能105は、半導体ツール102内部の部分の検出された状態に相関付けられる。これらのテスト基板104を走らせることによって、特定のレシピに関して、半導体ツール102のためのツールモデルを構築することが可能である。例として、ツールモデルは、特定のプロセスレシピに特有の特徴付け情報を含むように構成することができる。新しいプロセスレシピをツールモデルに特徴付ける必要がある場合、基板を使用して、その新しいレシピに関する情報を含むようにツールモデルを較正することができる。他の実施形態では、それぞれの特定のレシピ毎に個別のツールモデルを構築することができる。特定のレシピに関して、実際の製造基板を処理しながらツールモデルをインサイチュで構築することができることを理解すべきである。ツールモデルは、実際の製造基板が処理される前に、例えばテスト基板または較正基板を使用して構築することもできる。いずれの構成においても、得られたツールモデルを使用して、半導体ツール102の内面に変化が生じたときにプロセスの性能を予測することができる。したがって、検出器モジュール108は、定期的に、必要に応じて、またはさらには各基板の処理後に、この検査を提供することができる。したがって、検出器モジュール108によって収集された情報を、ツールモデルと比較または相関して、予測されるプロセス性能105を生成し、必要に応じてパラメータ調節を識別することができる。
1つの利点として、半導体ツール102および検出器モジュール108のためのツールモデルを使用する処理は、半導体ツール102の湿式洗浄操作間の時間間隔を延長する支援をすることができる。例えば、従来、プロセスドリフトが検出されたとき、システムは、洗浄または検査のために単に停止される。時として、システムは、洗浄または検査のためにプログラムにより停止されるが、これは時期尚早であることがあり、湿式洗浄サイクルの短縮をもたらす。真空を破壊することなく半導体ツール102内の表面の状態を検出することができることによって、状態を識別し、プロセス性能の予測されるドリフトを補償するプロセスレシピのパラメータを調節することが可能である。その結果、湿式洗浄サイクルを開始する必要が生じるまでに、より多数のウェハに対して半導体ツール102による処理を行うことができる。さらに、検出器モジュール108は、スコープ156を使用して、操作者がプロセスのドリフトを受けるときまたは消耗部品の摩耗を疑うときに、システムの不要な停止を防止する支援をすることもできる。その結果、本発明の実施形態はまた、消耗部品が適切に動作していること、または実際に交換を必要とすることをリアルタイムデータを用いて確認する(すなわち偽陽性を防止する)支援もする。
図2は、一実施形態における、半導体ツール102の一部を画定するチャンバ120の例示的な図を示す。図示されるように、チャンバ120は、底部電極122と、上部電極124と、無線周波数(RF)電源126と、マッチング回路128と、上部電極124に接続された接地125とを含む。例示の目的でライナ130がさらに示されており、ライナ130は、チャンバ120のチャンバ壁の内面を取り囲む。底部電極122に配設されたフォーカスリング131も示されている。基板(図示せず)は、底部電極122の表面122aに位置するように構成され、フォーカスリング131によって取り囲まれる。この例では、チャンバ120は、容量結合プラズマ(CCP)チャンバである。このチャンバタイプは例にすぎず、他のタイプのエッチングチャンバ、例えば誘導結合プラズマ(ICP)チャンバも、本明細書に述べる実施形態から利益を得ることがある。チャンバのタイプに応じて、内面、部品、および構成要素は様々である。このために、チャンバ120内の例示的な表面は例にすぎず、エッチング、堆積、検査、または他の処理機能のどれのためのものであるかに関わらず、チャンバの内部領域内の任意の表面を検査するためにスコープ156を使用することができることを理解すべきである。
これを念頭に置いて、上部電極124の例示的な表面124aは、プラズマ発生時にプラズマに露出され、物質の微粒子および/またはエッチング残渣を受ける、および生成することもある。ライナ130の表面130a、フォーカスリング131の表面131a、および底部電極122の表面122aも同様に、少なくともいくらかの物質の微粒子および/またはエッチング残渣を受ける。一実施形態では、スコープ156は、隔離弁103によってチャンバ120の内部に導入される。隔離弁103は、チャンバ120内の真空が維持されることを保証し、したがって、チャンバ120を開かずに検査を行うことができる。一実施形態では、隔離弁103を通してスコープ156を伸縮させることができる。アーム150は、いくつかの機械的ジョイント152を含むことができ、機械的ジョイント152は、様々な方向、角度、回転視点、および/または位置でスコープ156がチャンバ120内を移動することを可能にする。例示として、スコープ156は、方向158aで挿入および後退させることができ、方向158bで垂直に上昇および垂直に下降させることができ、方向158cで水平軸に沿って回転させることができ、方向158dで垂直軸に沿って回転させることができる。
したがって、位置決めシステム107は、延長制御機能140および回転制御機能142を含むものとして示されている。これらの制御機能を使用して、スコープ156を所望の位置に移動させて操作することができる。一実施形態では、位置決めシステム107は、チャンバの内部を特定のパターンで走査するように構成することができる。パターンは、スコープ156の走査および位置決めが特定の領域、部品、位置、区域などから情報を捕捉するように、プログラムによって定義することができる。
一実施形態では、検出モジュール108は、導管127を通り、アーム150を通ってスコープ156に結合された光学処理装置144を含む。一実施形態では、光学処理装置144は、検査すべき所望の表面に投影するようにスコープ156への光波の送達を制御するように構成される。光波は、所望の表面に伝送して、反射されるように構成される。次いで、反射された光スペクトルは、センサによって捕捉され、光学処理装置144に通信されて戻される。上述したように、光波は、好ましくは紫外-赤外スペクトルで通信され、表面および表面に存在する物質で反射されるときに情報を生成し、この情報を、検出される物質のタイプに分類して関連付けることができる。別の実施形態では、検出器モジュール108は、画像処理装置146も含むことができ、画像処理装置146も、導管127およびアーム150を介してスコープ156と通信する。この実施形態では、スコープ156上に配設されたカメラからの画像データを使用して、検査される表面の画像データを捕捉することができる。上述したように、画像データを使用して、前の画像データ捕捉からの表面の変化を比較することができる。したがって、比較は、物質蓄積の変化が生じている時、または過剰な粒子生成を生じ得る形で何らかの残渣が生じている時を識別することができる。例えば、画像データは、特定の残渣が適切に付着しておらず、より剥離されやすく、したがってより多くの粒子生成を引き起こす時を識別することができる。したがって、光学処理装置140と画像処理装置146との組合せを使用することによって、チャンバ120内の物質および表面を特徴付け、洗浄、点検、または消耗部品交換の必要性を示す状態を識別することができる。
図3は、チャンバ120による処理操作の結果として蓄積された物質粒子および/またはポリマー残渣を有する例示的なチャンバ部分170を示す。一実施形態では、スコープ156は、チャンバ120の内部の特定の部分、区域、部品、または領域を検査する位置に配置するように構成することができる。この例では、スコープ156は、チャンバ部分170の表面170aに向けられている。一構成では、スコープ156は、表面170aに向けることができる面を有することができる。一実施形態では、スコープ156は、表面170aに向かう光波の進入およびスコープ156のセンサ184による反射データの収集を可能にするのに十分な距離に配置される。例として、スコープ156の面は、1cm~50cmの間、好ましくは2cm~20cmの間の範囲の距離に配置することができる。
スコープ156は、一実施形態では、スコープ156の前面で終端する光ファイバライン186を含む。一実施形態では、光ファイバライン186を通して伝播された光をスコープ156の前面から外に向けるために、レンズまたは他の集束メカニズム188が使用されてよい。一実施形態では、表面170aに向けられた光の波長は、スコープ156の前面から垂直に指向性で集束させることができる。別の実施形態では、光は、スコープ156の前面に対して特定の角度で集束させることができる。いずれの構成でも、光は、表面170aの領域200に集束される。図示されているように、表面170aで反射された光は、分散して、最適にはUV-IR波長範囲内の電磁スペクトルにわたることができる波長を生成するようにされる。なぜなら、この波長範囲は、表面170aでの膜特性および/または化学組成に関する最も多くの情報を提供するからである。図示されているように、スコープ156は、好ましくは1つまたは複数のセンサ184を含み、センサ184は、粒子状物質および/またはエッチング残渣が蓄積されていることがある表面170aから発する反射光波長を捕捉するように構成される。
一実施形態では、粒子状物質および/またはエッチング残渣が粗いまたは多孔質の構造を有する場合、表面テクスチャは、投射された光の分散を生成するように作用し、この光は次いでセンサ184によって収集される。次いで、センサ184によって収集されたデータを、光学処理装置144による処理のために光検出器192に通信することができる。一実施形態では、スコープ156は、表面170aの画像データを捕捉するように構成されたカメラ180を含むこともできる。さらに別の実施形態では、スコープ156は、LED光182を含むことができ、LED光182は、カメラ180によって撮像されている領域を照光するために使用されてよい。LED光182は、光源183および画像処理装置146によって制御することができる。
画像処理装置146は、カメラ180の作動を制御することができ、検出器モジュール108による処理のために画像データを検索することができる。図示されるように、位置決めシステム107は、表面の状態、部品、消耗部品の摩耗、および他の識別可能な測定基準に関する情報を収集するために、チャンバ120内の様々な位置にスコープ156を移動させるように構成される。スコープ156は、光学データおよび画像データを捕捉するための機能を含むものして示されているが、光学データのみを捕捉するように、または画像データのみを捕捉するように、またはその両方でスコープ156を構成することが可能である。さらに、光ファイバライン186によって提供される光の放出器、センサ184、カメラ180、およびLED光182の配置および幾何形状、ならびにスコープ156の形状は、所望の構造的構成に応じて変えることができる。
図4Aは、一実施形態による、位置決めシステム107がスコープ156を移動させてチャンバ部分170のデータを捕捉するように構成された実施形態を示す。この例示では、位置決めシステム107によって、スコープ156をチャンバ部分170の様々な位置、区域、領域、部分などに位置決めすることができることが示されている。例えば、チャンバ部分170の様々な領域を、検出領域204の検査のために予め定めることができる。例として、粒子状物質および/または残渣の蓄積に関連する正確なデータを収集するために、スコープ156は、後の検査で同じ位置からデータを収集するようにプログラムすることができる。このようにして、より多数の基板が処理されるときに、同じ位置について変化を追跡することができる。別の実施形態では、スコープ156を水平方向に走査して、または点毎に移動させて、他の検出領域204から情報を捕捉することができ、これらの領域204は、特定の物質蓄積の正確な時間的データを維持するための再検査の目的で予め定めるまたはプログラムすることができる。図4Bは、カメラ180を使用して画像データが収集される画像領域202と、光学データが収集される光学領域200とを含むことができる検出領域ツールを有するためにスコープ156がどのように構成され得るかを示す。別の実施形態では、光学領域200を画像領域202内に含めることができる。例えば、光学領域200を画像領域202内で中心に合わせることができる。これは、カメラ180によってキャプチャされている領域に光学領域200を向けることによって実現することができる。
図4Cは、検出領域204が、チャンバ部分170の表面170aの上の離散した位置に関して選択される例を示す。例えば、スコープ156が1つの位置から次の位置に移動されるようにプログラミングを提供することができる。図4Dは、スコープ156が連続的にオンであり、重なり合う検出領域204を有するように位置毎に走査することができる例を示す。同じ領域を再検査することができる限り、データが収集される位置および様式は様々でよく、したがって、より多数の基板が処理されるにつれて、または訓練もしくは較正操作中にいくつかの基板が処理された後に、ツールモデルを正確に構築することができることを理解されたい。
図5は、位置決めシステム107がスコープ156をチャンバ120内の様々な位置に移動させる例を示す。図示されるように、スコープ156ー1は、ライナ130の表面130aをキャプチャするために、ライナ130の検出領域204を走査するように位置決めすることができる。次いで、スコープ156ー2は、外周付近でフォーカスリング131の表面131aをキャプチャするように位置決めことができる。次いで、スコープ156ー3が位置決めシステム107によって移動されるとき、フォーカスリング131の上部内周付近で表面131aをキャプチャするようにプログラミングを設定することができる。次いで、スコープ156ー4をある角度で動かすことができ、それにより、傾斜部付近のフォーカスリングの表面を検出領域204によって撮像することができる。この例は、基板の処理中に物質蓄積の状態および/または進行を捕捉するために、チャンバ内のプログラム可能な様々な位置にスコープ156を配置するように動かされるようにアーム150の様々な機械的ジョイントをプログラム可能であり得ることを示すために提供されている。
図6は、プロセス操作の流れ図を示し、これらのプロセス操作を実施して、ツールモデルを構築し、ツールモデルを利用して、半導体ツールに必要なメンテナンス操作を予測し、および/またはプロセスレシピのパラメータを調節し、洗浄サイクル間でのツールの機能を製造面で最適化および/または延長することができる。1つの例示的な実施形態では、操作302で、半導体ツール内で基板が処理される。操作の処理が完了した後、例えばエッチング操作が実施された後、方法は操作304に進み、処理すべき次の基板を受け取る準備において半導体ツールから基板を取り外す。
一実施形態では、基板の処理後、操作308で、プロセス性能を特徴付けることが可能である。プロセス性能の特徴付けは、各基板が処理された後、多数の基板が処理された後、定期的に、または必要に応じて行うことができる。一実施形態では、操作308でのプロセス性能の特徴付けは、半導体ツールの初期較正として行うことができ、半導体ツールのためのツールモデルを構築するためにいくつかの基板が処理される。
ツールモデルが構築され、その機能が、チャンバ内で検査された検出された物理的特性に基づいてプロセス性能を十分に良く予測しているとき、基板は、各基板が処理された後に操作308での特徴付けを必要としない。操作306で、スコープが半導体ツールに挿入されて、基板処理間のツール表面の変化を特徴付けるために使用される表面データを捕捉する。
上述したように、真空を破壊することなく半導体ツールにスコープを挿入することができ、したがって、半導体ツールを利用する処理は、より多数のウェハを処理し続けることができる。具体的には、半導体ツールを開く必要なく、スコープを利用する検査を行うことができる。半導体ツールを開くことは、較正し直して、ツールを真空および動作状態に戻す際に大きな遅延をもたらすことがある。操作310で、ツール表面の変化でデータベースが更新される。ツール表面の変化は、例えば、物質蓄積のタイプ、物質蓄積の量、蓄積のテクスチャ(物質が剥がれやすいか、それとも確実に付着しているか)、および他の特性を含むことができる。
上述したように、ツール表面の変化は、光学的分析およびまた任意選択で画像分析を使用して識別することができる。操作312は、プロセス性能に関するデータもデータベースに更新することができることを示す。次いで、操作314で、操作308でのプロセス性能の捕捉中およびスコープを利用した検査中に記録された情報を、半導体ツールのためのツールモデルに追加することができる。ツールモデルは、ツール表面の変化に関して得られた情報を、特徴付けられるプロセス性能と相関付ける較正プロセスを行うことによって生成される。したがって、ツールモデルは、物理的なツール表面の検出された特性に基づいてプロセス性能を予測するために使用することができる相関を含む。
時間と共に、より多数の基板の処理中、または訓練もしくは較正操作中にツールモデルを生成するために複数の基板が処理されるとき、ツールモデルは、その相関データを形成し続け、最終的には、スコープ156によって分析される表面特性の検出された変化に基づいて、ツールモデルがプロセス性能を正確に予測する。操作316で、処理装置を使用して、プロセス性能にドリフトが生じているかどうか、または、ツール表面の検出された特性に基づいてプロセス性能のドリフトをモデルが予測しているかどうかを識別することができる。操作318で、識別されたドリフトまたは予測されたドリフトに基づいてプロセスパラメータを調節すべきかどうかが判定される。調節が必要ないと判定された場合、この方法は操作316に戻る。特定のパラメータを調節すべきと判定された場合、この方法は操作312に進み、ここで、検出されたドリフトまたはツールモデルに基づいて予測されたドリフトを相殺するために1つまたは複数のプロセスパラメータが調節される。
この時点で、この方法は操作302に戻り、ここで、調節されたパラメータを利用して次の基板を処理することができる。上述したように、調節されたパラメータは、プロセスレシピに応じて様々でよい。パラメータは、圧力の調節、温度の調節、電力レベルの調節、バイアス電圧の調節、上部電極と底部電極との間の距離の調節、周波数の調節、および/または1つもしくは複数のパラメータの調節を含むことができる。一実施形態では、調節のために識別されるパラメータは、プロセス性能の特定のドリフトを打ち消すことができる既知の効果に基づいて選択することができる。この情報は、制御装置によってアクセス可能なメモリに記憶することができ、したがって適切なパラメータを調節することができる。図示されるように、操作306で、半導体ツールにスコープが挿入された後、別の基板が処理されるべきかどうか判定される。別の基板が処理されるべきである場合、操作321で、次の基板がツールに挿入される。次の基板が処理される前に調節322が設定された場合、レシピに関する調節されたパラメータを利用して次の基板が処理される。
図7Aは、一実施形態による、洗浄操作間の基板の処理中に利用される閉ループ制御の例を示す。操作330で、半導体ツールが洗浄される。洗浄操作は、典型的には、半導体ツールが開かれて湿式洗浄を施されることを必要とする。湿式洗浄操作は、微粒子の蓄積および/または残渣を除去するために、チャンバ内部からの部品の除去を含むことがある。他の実施形態では、ツールが開かれたとき、消耗部品の摩耗についてツールをチェックすることもできる。消耗部品の交換が必要な場合には、その時点で交換される。上述したように、より多数の基板を処理するためには、湿式洗浄操作間の時間をできるだけ延ばすことが望ましい。しかしまた、半導体ツールの操作は、湿式洗浄サイクル間の全期間にわたって最適であることも望ましい。
閉ループ制御334を提供することによって、プロセス中の任意のドリフトを補償するためにプロセスパラメータを動的に調節することが可能である。したがって、操作332で、基板が処理される。基板が処理された後、または複数の基板が処理された後、閉ループ制御334を実施することができる。閉ループ制御334は、ツールおよびプロセス分析336を含むことができる。ツールおよびプロセス分析336が実施される場合、操作337で、ツールモデルを更新することができる。
別の実施形態では、スコープ156を使用してツール分析を簡単に行うことが可能である。次いで、ツール分析から収集された情報を、半導体ツールに関するツールモデルと比較することができ、これは、プロセス中の予測されるドリフトを識別することができる。操作338で、ツールモデルを参照して、任意のプロセスパラメータに関してプロセス中のドリフトを識別することができる。
操作339で、識別されたプロセスドリフトを補償するために、プロセスパラメータの1つまたは複数を調節することができる。操作340で、次の基板を処理すべきかどうかが判定される。複数の基板が処理され、各基板が処理された後に閉ループ制御334が処理されることも可能である。上述したように、設定された数のウェハが処理された後に閉ループ制御334を動作させることも可能である。
次の基板を処理すべきであると判定された場合、この方法は、操作332に戻り、基板を処理する。操作340で次の基板を処理すべきでないと判定された場合、この方法は、操作330に戻り、半導体ツールの湿式洗浄が行われる。これは、プロセスの調節をさらに行う必要がないと判定され、ツールが湿式洗浄プロセスに進むことが推奨された場合に行われることがある。
図7Bは、ツール分析336’が実施され、続いてツールモデル337’へのルックアップが行われる別の実施形態を示す。この実施形態では、閉ループ制御334は、プロセスの分析を省略するように構成され、単に、較正されているツールモデルを使用する。したがって、ツールモデルがルックアップされて、ツールモデルに存在する情報に基づいて、任意のプロセスドリフトが発生していると予測されるかどうかを識別する。操作中、各ウェハが処理された後、もしくは設定された数のウェハが処理された後、またはループ制御が行われるべきであるとシステムプロセスもしくはプログラムが判定したときはいつでも、閉ループ制御334を処理することができる。例えば、操作開始から数時間が経過した後、または閉ループ制御334を実施する必要性を1つもしくは複数の他のセンサデータが示すとき、ループ制御を行うためにプログラム設定が提供されてよい。
閉ループ制御334が処理されるとき、システムは、検出器モジュール108を使用してプロセスモジュール内の1つまたは複数の領域を検査し、検出されたデータ/情報に基づいて、その情報を使用してツールモデルにインデックスすることができる。次いで、ツールモデルは、情報、例えば半導体ツールの予想される性能を示す情報を生成する。次いで、進行中の処理を最適化するために、および/またはプロセス性能の任意の予想されるもしくは実際のドリフトもしくはシフトを補償する調節を行うために、1つまたは複数のプロセスパラメータの能動的な調節を実行することができる。
図8は、ツールモデル408の構築の仕方を示す流れ図400を示す。上述したようなツールモデル408の構築は、基板(1~N)が半導体ツール内で処理されるときに、時間と共に生成することができる。例えば、基板は、プロセスパラメータセット402を定義する特定のレシピで処理することができる。プロセスパラメータセット402は、例えば、使用すべきガスの種類、流量、温度、出力設定、チャンバの構造的設定、点検出プロセス、圧力設定などを含むことができる。操作404で、チャンバの内面の物理的特性が監視される。一実施形態では、チャンバ内の1つまたは複数の領域に関して物理的特性を監視することができる。
物質蓄積またはポリマー蓄積について未知の領域に基づいて、監視すべき領域を予め定めることができる。さらに、プロセスがどれほど良好に実施されているかを分析することによって、基板からプロセス性能406を監視することもできる。様々な分析ツールを使用して、エッチング性能、均一性に関する性能、堆積性能、および他の性能属性などの性能を測定することができる。したがって、特定のレシピおよびパラメータセット402に関して、監視された物理的特性404がプロセス性能406に相関付けられ、次いでツールモデル408に追加される。このプロセスは、多数の基板に関して繰り返すことができ、情報がツールモデル408に追加されるたびに、これは、チャンバ内の監視された表面特性とプロセス性能との相関の洗練およびより正確な推定をもたらす。
上述したように、ツールモデル408を構築することは、いくつかの基板のプロセス性能406を評価するための計測情報を利用して基板の処理の実行時間中に行うことができ、またはツールモデル生成ステップ中に行うこともできる。例として、特定のレシピに関する半導体ツールのためのツールモデルを生成することが可能である。ツールモデルは、複数のレシピに関してデータをモデル化するために生成することもできる。したがって、非生産用ウェハが処理されているとき、ツールモデルの生成をオフラインで行うことができる。いずれの構成でも、ツールモデル408は、時間と共に構築され、より多くの検査がプロセス性能に相関付けられるにつれて洗練される。
図9は、特定のレシピに関してグローバルツールモデル404を生成する例を示す。いくつかの製造施設では、同じ製造ツールが、いくつかの様式で、または同じクラスタアーキテクチャで設置される。一般に、半導体ツールは、同じエッチング操作を行って、スループットを増加させるために使用される。一実施形態では、半導体ツールは、同じタイプ、例えばタイプAでよく、ツール1~ツールNとして設置することができる。各半導体ツールは、ツールモデル420-1~420-Nを生成することができる。モデリングが同じレシピに関するものである場合、各ツールモデル420から生成された情報を、ツールモデル較正ユニット430に通信することができる。ツールモデル較正ユニット430は、半導体ツールの検出された性能および測定された特性を合成して、ツールモデルを改良するように構成される。
この処理は、複数のチャンバが同じレシピに関してモデル化されていることにより、より多数のデータポイントを含むツールモデルの生成を加速することができる。したがって、タイプAの半導体ツールのためのグローバルツールモデル440を確立することができる。それにより、タイプAの新しい半導体ツールがオンラインにされて、グローバルツールモデル440が生成されたのと同じレシピを処理する場合、予測されるプロセス性能をグローバルツールモデル440から即座に生成することができ、新たに設置された半導体ツールでのレシピのパラメータを調節するための正確で迅速な閉ループ制御を生成することができる。パラメータのこれらの調節は、スコープ156を利用して物理的検査が行われて、検出器モジュール108によって情報を収集した後に行われる。したがって、検出器モジュール108によって収集された情報は、グローバルツールモデル440に供給され、グローバルツールモデル440は、次いで、プロセス性能の予測、ならびに1つまたは複数のプロセスパラメータに対する調節の提案および/または能動的な設定を提供する。
図10は、プラズマ処理システム100の制御装置109によって生成されることがあるユーザインターフェース500の例を示す。ユーザインターフェース500は、特定の半導体ツールによるプロセス性能に関する情報を提供することができる。この例では、半導体ツールはツールタイプAとして識別される。この例では、プロセス1が、半導体ツールによって実行されているレシピであることが示されている。一実施形態は、半導体ツールの特定の部分に関係する情報を提供する。
これは、チャンバ部分502、504、および506によって提供される異なる画面またはデータによって例示されている。例えば、チャンバ部分502はフォーカスリングであり、ツールモデルは、スコープ156を使用してフォーカスリングが監視されていることを示しており、また、ツールモデルは、より多数の基板が処理されるにつれてプロセス性能がシフトすることを予測している。ループ制御がオンであることも示されており、これは、システムがツールモデルを自動的に使用して、調節すべき1つまたは複数のレシピパラメータを識別し、フォーカスリング表面の変化によって生成される予測されるドリフトを補償することを意味する。チャンバ部分504はライナに関するものであり、これは、ループ制御がオフであることも示している。これは、システムが、ライナでの物質蓄積の変化を補償しないように較正されていることを意味する。
ライナでの検出された表面変化に関してループ制御が使用されるべきであると操作者が決定した場合、設定を単にオンにすることができる。チャンバ部分506は、閉じ込めリングと定義される。また、閉じ込めリングでの物質蓄積の変化がプロセス性能の変化またはドリフトを何ら引き起こしていないとツールモデルが予測していることに基づいて、ループ制御がオフに設定される。
これらの例示的なグラフィカルユーザインターフェース制御および設定は、基板の能動処理中にループ制御が適用されるべき時を制御するために、特定のプロセスのためのツールモデルに含まれる情報をツール操作者がどのように使用することができるかを例示するために示されている。すなわち、場合によっては、特定の構成部品によるプロセス性能への影響が最小限であることをツールモデルが示唆していることを知っている操作者は、システムによるループ制御の適用およびパラメータの変更を防止することを選択して差支えない。チャンバの他の部分、例えばフォーカスリング502では、操作者は、ループ制御を能動的にオンに設定することができる。なぜなら、フォーカスリングによってポリマー蓄積および/または摩耗が示されるにつれてプロセス性能のドリフトが実質的に大きくなることをツールモデルが予測しているからである。
図11は、半導体ツール600によって利用されるプロセスパラメータを能動的に変えるために使用可能なループ制御110のブロック図を示す。この実施形態では、検出器モジュール108が、半導体ツール600の表面から情報を収集し、その情報をツールモデル処理装置112に提供することができることが示されている。ツールモデル処理装置112は、ツールモデル408を生成するように構成される。ツールモデル408は、半導体ツール600内の1つまたは複数の基板の処理から収集されたプロセス性能105に関連付けられる相関情報を含む。操作中、ツールモデル408は、単に、半導体ツール600内の特定の部品の検出された変化に基づいて使用されることがあり、次いでプロセスドリフトを予測することができる。
したがって、プロセス性能ブロック105は毎回行われる必要はなく、単にツールモデル408を生成するために行うことができる。重みのためのツールモデルが生成、使用、または取得されると、検出器モジュール108は、単に、チャンバの内部の特性を収集し、次いでツールモデルを使用して、プロセス性能がどのようになるかを予測するために使用される。この予測されたプロセス性能は、プロセスドリフト分析器114によって分析され、プロセスドリフト分析器114は、次いで、パラメータ調節モジュール116と相互作用する。パラメータ調節モジュール116は、調節すべきプロセスレシピの1つまたは複数のパラメータを識別することができ、したがって、この情報を閉ループ制御機能として提供する。
一実施形態では、閉ループ制御110、ならびに検出器モジュール108およびプロセス性能105は、制御装置109によって制御することができる。いくつかの実施形態では、制御装置109は、ソフトウェアまたはハードウェアの形態でこれらのモジュールを処理することができる。他の実施形態では、制御装置109は、単に、半導体ツール600内からの情報の収集を命令するために、検出器モジュール108などの他のモジュールとインターフェースする。いくつかの実施形態では、プロセス性能105は、計測ツールなど別のツールから検索される。
理解することができるように、ツールモデルが構築されると、検出器モジュール108を使用して、半導体ツール102を定期的に、または何らかのプログラムされたレートに基づいて検査することができる。検出器モジュール108によって収集された情報に基づいて、ツールモデルを使用して、プロセス性能がどのようになるかを予測することができる。プロセス性能がドリフトしている、またはドリフトもしくはシフトを示す可能性があると予測される場合、予想されるドリフトまたはシフトを補償するために、レシピの特定のパラメータをプログラムによって調節することができる。このようにして、より多数の基板104のために半導体ツール102の処理を延長することが可能であり、基板104の処理は、湿式洗浄間の全期間にわたってまたは実質的に全期間にわたって所望のプロセス性能レベルを維持することができる。
一実施形態では、図1を参照して上述した制御装置109は、プラズマ処理システム100と通信し、プラズマ処理システム100を監視して制御するために、プロセッサ、メモリ、ソフトウェア論理、ハードウェア論理、および入出力サブシステムを含んでいてよい。また、制御装置109は、例えばプラズマ処理システムを動作させるための様々な動作パラメータ(例えば、電圧、電流、周波数、圧力、流量、電力、温度など)に関する複数の設定点を含む1つまたは複数のレシピの処理を取り扱ってもよい。
いくつかの実装形態では、制御装置は、上述した例の一部でよいシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または特定の処理構成要素(ウェハペデスタルやガスフローシステムなど)を含めた半導体処理機器を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、および処理後にシステムの動作を制御するための電子回路と一体化されてよい。電子回路は「制御装置」と称されてよく、これは、システムの様々な構成要素またはサブパートを制御し得る。制御装置は、処理要件および/またはシステムのタイプに応じて、本明細書で開示する任意のプロセスを制御するようにプログラムされてよく、そのようなプロセスは、処理ガスの送給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、出力設定、高周波(RF)発生器の設定、RFマッチング回路の設定、周波数設定、流量設定、流体送給設定、位置および動作の設定、ツール内外へのウェハ移送、および特定のシステムに接続またはインターフェースされた他の移送ツールおよび/またはロードロック内外へのウェハ移送を含む。
広範に言うと、制御装置は、例えば、命令を受信する、命令を送信する、動作を制御する、洗浄操作を可能にする、およびエンドポイント測定を可能にする様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子回路として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態でのチップ、デジタル信号処理装置(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えばソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)の形態で制御装置に通信される命令でよく、特定のプロセスを半導体ウェハ上で、もしくは半導体ウェハ用に、またはシステムに対して実施するための動作パラメータを定義する。いくつかの実装形態では、動作パラメータは、ウェハの1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化ケイ素、表面、回路、および/またはダイの製造中に1つまたは複数の処理ステップを達成するためにプロセスエンジニアによって定義されるレシピの一部でよい。
いくつかの実装形態では、制御装置は、コンピュータの一部でよく、またはコンピュータに結合されてよく、そのコンピュータは、システムと一体化される、システムに結合される、他の形でシステムにネットワーク化される、またはそれらの組合せで構成される。例えば、制御装置は、「クラウド」または工場ホストコンピュータシステムの全体もしくは一部でよく、ウェハ処理の遠隔アクセスを可能にすることができる。コンピュータは、システムへの遠隔アクセスを可能にしてよく、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を検査し、複数の製造操作から傾向または性能測定基準を検査して、現在の処理のパラメータを変更する、現在の処理に続くように処理ステップを設定する、または新たなプロセスを開始する。いくつかの例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワークまたはインターネットを含んでいてよいネットワークを介してシステムにプロセスレシピを提供することができる。遠隔コンピュータはユーザインターフェースを含んでいてよく、ユーザインターフェースは、パラメータおよび/または設定の入力またはプログラミングを可能にし、これらのパラメータおよび/または設定は、次いで遠隔コンピュータからシステムに通信される。いくつかの例では、制御装置は、1つまたは複数の操作中に行うべき各処理ステップに関するパラメータを指定する命令を、データの形態で受信する。パラメータが、実施すべきプロセスのタイプ、および制御装置がインターフェースまたは制御するように構成されたツールのタイプに特有のものでよいことを理解すべきである。したがって、上述したように、制御装置は、例えば1つまたは複数のディスクリート制御装置を含むことによって分散されてよく、それらの制御装置は、互いにネットワーク化され、本明細書で述べるプロセスや制御など共通の目的に向けて協働する。そのような目的のための分散型制御装置の一例は、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔に位置された1つまたは複数の集積回路と通信するチャンバにある1つまたは複数の集積回路であり、これらが組み合わさってチャンバでのプロセスを制御する。
限定はしないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、および、半導体ウェハの作製および/または製造に関連付けられてよいまたは使用されてよい任意の他の半導体処理システムを含んでいてよい。
上記のように、ツールによって行うべきプロセスステップに応じて、制御装置は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近隣のツール、工場全体にわたって位置されたツール、メインコンピュータ、別の制御装置、または、ウェハのコンテナを半導体製造工場内のツール位置および/または装填ポートに/から導く材料輸送で使用されるツールの1つまたは複数と通信してよい。
図12は、いくつかの実施形態を実施するためのコンピュータシステムの簡略化された概略図である。本明細書で述べる方法は、従来の汎用コンピュータシステムなどのデジタル処理システムで実施されて差支えないことを理解すべきである。代替形態では、ただ1つの機能を行うように設計またはプログラムされた専用コンピュータが使用されてよい。コンピュータシステムは、中央処理装置(CPU)804を含み、中央処理装置(CPU)804は、バス810を介して、ランダムアクセスメモリ(RAM)806、読み出し専用メモリ(ROM)812、および大容量記憶デバイス814に結合される。システム制御装置プログラム808は、ランダムアクセスメモリ(RAM)806に常駐するが、大容量記憶デバイス814に常駐することもできる。
大容量記憶デバイス814は、フロッピー(登録商標)ディスクドライブまたは固定ディスクドライブなどの永続データ記憶デバイスであり、これは、ローカルにあっても遠隔にあってもよい。ネットワークインターフェース830は、ネットワーク832を介する接続を提供し、他のデバイスとの通信を可能にする。CPU804は、汎用プロセッサ、専用プロセッサ、または特別にプログラムされた論理デバイスで具現化されてもよいことを理解されたい。入出力(I/O)インターフェースは、異なる周辺機器との通信を提供し、バス810を介して、CPU804、RAM806、ROM812、および大容量記憶デバイス814と接続される。サンプル周辺機器は、ディスプレイ818、キーボード822、カーソル制御824、リムーバブルメディアデバイス834などを含む。
ディスプレイ818は、本明細書で述べるユーザインターフェースを表示するように構成される。キーボード822、カーソル制御824、リムーバブルメディアデバイス834、および他の周辺機器は、I/Oインターフェース820に結合されて、コマンド選択に関する情報をCPU804に通信する。外部デバイスへのデータおよび外部デバイスからのデータは、I/Oインターフェース820を介して通信されてよいことを理解すべきである。また、本発明の実施形態は、分散コンピューティング環境で実施することもでき、この環境では、有線または無線ネットワークを介してリンクされたリモート処理デバイスによってタスクが実施される。
いくつかの実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラマブル消費者電子機器、ミニコンピュータ、メインフレームコンピュータなどを含む様々なコンピュータシステム構成で実施されてよい。これらの実施形態も、分散コンピューティング環境で実施することができ、この環境では、ネットワークを介してリンクされたリモート処理デバイスによってタスクが行われる。
上記の実施形態を念頭に置いて、本発明の実施形態は、コンピュータシステムに記憶されたデータを含む様々なコンピュータ実装操作を採用することができることを理解すべきである。これらの操作は、物理量の物理的操作を必要とするものである。本発明の実施形態の一部を成す本明細書で述べる操作はいずれも、有用な機械操作である。また、本発明の実施形態は、これらの操作を実施するためのデバイスまたは装置にも関する。この装置は、専用コンピュータなど、所要の目的のために特別に構成されてよい。専用コンピュータとして定義されるとき、コンピュータは、特別な目的のために動作することが依然として可能である状態のまま、その特別な目的の一部ではない他の処理、プログラム実行、またはルーチンも行うことができる。代替として、操作は、汎用コンピュータによって処理されてもよく、汎用コンピュータは、コンピュータメモリもしくはキャッシュに記憶されている、またはネットワークを介して得られる1つまたは複数のコンピュータプログラムによって選択的に作動または構成される。データがネットワークを介して得られるとき、データは、ネットワーク、例えば計算資源のクラウド上で他のコンピュータによって処理されてよい。
また、1つまたは複数の実施形態は、コンピュータ可読媒体上のコンピュータ可読コードとして製造することもできる。コンピュータ可読媒体は、データを記憶することができる任意のデータ記憶デバイスであり、このデータは、その後、コンピュータシステムによって読み取ることができる。コンピュータ可読媒体の例としては、ハードドライブ、ネットワーク接続記憶装置(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD-ROM、CD-R、CD-RW、磁気テープ、ならびに他の光学および非光学データ記憶デバイスが挙げられる。コンピュータ可読媒体は、ネットワーク結合コンピュータシステムを介して分散されたコンピュータ可読の有形媒体を含むことができ、したがって、コンピュータ可読コードは、分散式で記憶されて実行される。
方法操作を特定の順序で述べたが、操作間で他のハウスキーピング操作が行われてもよく、またはわずかに異なる時点で行われるように操作が調節されてよく、もしくは、重なり合う操作の処理が所望の様式で実施される限り、処理に関連付けられる様々な間隔での処理操作の実施を可能にするシステムに操作が分散されてもよいことを理解すべきである。
プロセスチャンバおよび消耗部品を検査するための方法に関するさらなる情報については、2015年12月7日出願の「Estimation of Lifetime Remaining for Consumable Part in a Semiconductor Manufacturing Chamber」という名称の米国特許出願第14/961,756号を参照されたい。この特許文献を参照により本明細書に援用する。参照により本明細書に援用した上記出願で述べられている実施形態および特徴が、本明細書で述べられる1つまたは複数の特徴と組み合わされて、特定の実施形態を定義してもよい。
上記の実施形態は、理解しやすくするためにいくぶん詳細に述べたが、添付の特許請求の範囲の範囲内で何らかの変更および修正を実施することができることは明らかであろう。したがって、本明細書における実施形態は例示であり、限定ではないものとみなすべきであり、本発明の実施形態は、本明細書で与えられた詳細に限定されるべきでなく、添付の特許請求の範囲の範疇および均等形態の範囲内で変更されてよい。本開示は以下の適用例を含む。
[適用例1]
プラズマ処理チャンバを操作するための方法であって、
(a)前記プラズマ処理チャンバ内の基板を真空下で処理するステップであって、前記基板の前記処理が、前記プラズマ処理チャンバの内部領域内の表面に付着する粒子状残渣を生成するステップと、
(b)前記基板の前記処理の性能を特徴付けるステップと、
(c)前記真空を破壊することなく、前記基板を処理した後に前記プラズマ処理チャンバの内部領域を検査するステップであって、前記検査が、前記プラズマ処理チャンバの前記内部領域の1つまたは複数の表面での前記粒子状残渣の特性を識別するように構成され、前記検査が、前記1つまたは複数の表面の光学データを捕捉することを含むステップと、
(d)前記特徴付けられた前記基板の前記処理の性能を、前記特徴付けられた粒子状残渣に相関付けるためにツールモデルを生成するステップであって、前記ツールモデルが、ステップ(a)~(c)を複数回繰り返すことによって更新されるステップと
を含む方法。
[適用例2]
適用例1に記載の方法であって、前記検査が、前記1つまたは複数の表面の画像データを捕捉することをさらに含む方法。
[適用例3]
適用例1に記載の方法であって、前記ツールモデルが、前記処理の性能の変化に対する、検査される前記1つまたは複数の表面の変化の推移を追跡する方法。
[適用例4]
適用例3に記載の方法であって、
基板の前記処理の性能のシフトを識別するステップであって、前記シフトが、許容できる性能の窓の外であるステップと、
前記基板を処理するために使用されるレシピの1つまたは複数のパラメータを識別するステップと、
ループ制御を処理して、前記レシピの前記識別された1つまたは複数のパラメータを変え、前記処理の前記性能に影響を及ぼして、許容できる性能の窓内に戻るようにシフトするステップと
をさらに含む方法。
[適用例5]
プラズマ処理チャンバを操作するための方法であって、
前記プラズマ処理チャンバ内の基板を処理するためのレシピを識別するためのステップであって、前記レシピが、供給すべき化学物質に関するパラメータと、前記処理に使用すべき前記プラズマ処理チャンバの状態とを識別し、前記処理が、所定レベルの性能を生成するように構成されるステップと、
前記プラズマ処理チャンバの内部領域をスコープで検査して、前記内部領域内の表面の物理的状態を決定するステップと、
前記プラズマ処理チャンバのためのツールモデルを参照するステップであって、前記ツールモデルが、前記基板を処理するために使用される前記レシピにさらに関連付けられるステップと、
前記レシピの前記パラメータの少なくとも1つを、前記検査に基づいて決定された前記物理的状態に対応する前記ツールモデルによって提供される情報に基づいて調節するステップであって、前記調節が、前記所定レベルの性能の外にある性能の測定基準のドリフトを実質的に防止するように構成されるステップと
を含む方法。
[適用例6]
適用例5に記載の方法であって、
前記表面の前記物理的状態を決定するために、前記スコープを用いて前記プラズマ処理チャンバの前記内部領域の前記検査を繰り返すステップと、
前記繰り返される検査のために、
前記ツールモデルを参照するステップと、
前記性能の前記測定基準または別の測定基準の前記ドリフトを実質的に防止するために、前記パラメータの1つまたは複数を調節することが必要とされるどうかを判定するステップと、
制御ループを処理して、前記レシピの前記パラメータの1つまたは複数を調節するように制御装置に命令するステップと
をさらに含む方法。
[適用例7]
適用例6に記載の方法であって、前記チャンバの内部の前記検査の前記繰り返しが、前記プラズマ処理チャンバの真空を破壊することなく行われる方法。
[適用例8]
適用例6に記載の方法であって、前記チャンバの内部の前記検査の前記繰り返しが、前記プラズマ処理チャンバの真空を破壊することなく、1つまたは複数の基板の処理の合間に行われる方法。
[適用例9]
適用例5に記載の方法であって、前記チャンバの内部の前記検査が、前記プラズマ処理チャンバの前記内部領域の2つ以上の表面の物理的状態を決定することを含む方法。
[適用例10]
適用例9に記載の方法であって、前記物理的状態が、
前記2つ以上の表面に堆積された物質の特性を定量化する、
前記内部領域に露出されたチャンバ部分の摩耗レベルを定量化する、または
堆積された物質の特性と前記チャンバ部分の摩耗レベルとの両方を定量化する
方法。
[適用例11]
適用例10に記載の方法であって、前記表面に堆積された物質の前記定量化された特性、または前記チャンバ部分の摩耗レベルが、前記ツールモデルによって、前記レシピを使用して前記プラズマ処理チャンバ内の前記基板を処理する予測された性能と相関付けられる方法。
[適用例12]
適用例5に記載の方法であって、前記ツールモデルが、前記プラズマ処理チャンバの較正に基づいて生成され、前記較正が、
(a)前記プラズマ処理チャンバ内の基板を処理するステップであって、前記基板の前記処理が、前記プラズマ処理チャンバの前記内部領域内の表面に付着する粒子状残渣を生成するステップと、
(b)前記基板の前記処理の性能を特徴付けるステップ;[測定学]と、
(c)前記内部領域の物理的属性を特徴付けるために、処理後に前記プラズマ処理チャンバの前記内部領域を検査するステップであって、前記物理的属性が、前記表面に付着する粒子状残渣および/または前記プラズマ処理チャンバ内の消耗部品のステータスに関係するステップと、
(d)前記特徴付けられた性能と前記特徴付けられた物理的属性との相関によってツールモデルを更新するステップとを含み、前記(a)~(d)が、前記ツールモデルでの前記相関を最適化するために複数回繰り返される
方法。
[適用例13]
適用例12に記載の方法であって、前記処理の前記性能の前記特徴付けが、計測ツールを使用して、基板の表面特性から前記処理の属性を検査することを含む方法。
[適用例14]
プラズマ処理システムであって、
基板を処理するときにプラズマに露出される内部領域を有するチャンバであって、前記内部領域が、前記チャンバの構造の表面と前記チャンバの消耗部品の表面とを含む、チャンバと、
前記基板を処理するときに使用すべきレシピの処理を制御するための、前記チャンバとインターフェースされる制御装置であって、前記レシピが、化学物質の供給に関して設定すべき複数のパラメータと、前記チャンバの設定条件とを定義する、制御装置と、
スコープの制御を可能にするために前記チャンバとインターフェースされる検出器モジュールであって、前記スコープが、前記チャンバの真空を破壊することなく前記チャンバの前記内部領域を検査するために前記チャンバに挿入されるように構成され、前記検出器モジュールが、前記チャンバの前記内部領域の表面に向けた光の投射を制御して反射光を検出するための光学処理装置を含み、前記反射光が、光学処理装置によって処理されて、前記スコープを介して検査された前記表面に存在する物質の特性を識別する、検出器モジュールと、
前記スコープを前記チャンバの前記内部領域の1つまたは複数の位置に移動させるように構成された位置決めシステムであって、基板の処理の合間に前記スコープを前記内部領域に移動させ、基板の処理中に前記スコープを前記内部領域から外に移動させるように構成された位置決めシステムと、
前記表面に存在する前記物質の識別された特性に関する情報と、1つまたは複数の基板の検査から得られた前記レシピのプロセス性能に関する情報とを受信して、ツールモデルを構築するように構成されたツールモデル処理装置であって、前記ツールモデルが、前記表面に存在する前記物質の前記特性と、前記レシピの前記プロセス性能に関する情報との相関を維持する、ツールモデル処理装置と
を備えるプラズマ処理システムであって、
ツールモデルが、1つまたは複数の追加の基板を処理するときに前記ツールモデル処理装置によって更新される
プラズマ処理システム。
[適用例15]
適用例14に記載のプラズマ処理システムであって、前記検出器モジュールが、
カメラとインターフェースされた画像処理装置をさらに含み、前記カメラが、前記スコープと一体化され、前記カメラが、前記チャンバの前記内部領域の表面の画像をキャプチャするように構成され、前記表面の前記キャプチャされた画像に関する情報が、前記ツールモデルに追加され、前記ツールモデル処理装置が、2つ以上の前記キャプチャされた画像の比較を行って、前記チャンバの前記領域の内部の表面のさらなる特性を識別するように構成され、前記キャプチャされた画像から識別された特性が、前記レシピの前記プロセス性能に関する前記情報に相関付けられる
プラズマ処理システム。
[適用例16]
適用例14に記載のプラズマ処理システムであって、前記光学処理装置が、紫外-赤外範囲内の光を投射するように構成され、前記反射光が、前記スコープのセンサによって捕捉され、光検出器が、前記光学処理装置による処理のために前記反射光をサンプリングするために使用されるプラズマ処理システム。
[適用例17]
適用例16に記載のプラズマ処理システムであって、前記光学処理装置によって処理された前記反射光が、所定の表面状態に対応付けられた情報を提供するように構成される、プラズマ処理システム。
[適用例18]
適用例14に記載のプラズマ処理システムであって、前記スコープが、隔離弁を介して前記チャンバとインターフェースされる、プラズマ処理システム。
[適用例19]
適用例14に記載のプラズマ処理システムであって、前記制御装置が、前記ツールモデル処理装置を処理する、または前記ツールモデル処理装置とインターフェースするように構成される、プラズマ処理システム。
[適用例20]
適用例14に記載のプラズマ処理システムであって、
基板の前記処理が前記レシピに関する許容できる窓の外にドリフトした時の基準を識別するためのプロセスドリフト分析器
をさらに備えるプラズマ処理システム。

Claims (20)

  1. プラズマ処理チャンバを操作するための方法であって、
    (a)前記プラズマ処理チャンバ内の基板を真空下で処理するステップであって、前記基板の前記処理が、前記プラズマ処理チャンバの内部領域内の表面に付着する粒子状残渣を生成するステップと、
    (b)前記基板の前記処理の性能を特徴付けるステップと、
    (c)前記真空を破壊することなく、前記基板を処理した後に前記プラズマ処理チャンバの内部領域を前記内部領域に挿入されたスコープを使用して検査するステップであって、前記検査が、前記プラズマ処理チャンバの前記内部領域の1つまたは複数の表面での前記粒子状残渣の特性を、前記プラズマ処理チャンバの前記内部領域の前記複数の表面に近接する前記スコープを移動させることによって識別するように構成され、前記検査が、前記1つまたは複数の表面の光学データを捕捉することを含むステップと、
    (d)前記特徴付けられた前記基板の前記処理の性能を、前記粒子状残渣の前記識別された特性に相関付けるためにツールモデルを生成するステップであって、前記ツールモデルが、ステップ(a)~(c)を複数回繰り返すことによって更新されるステップと
    を含む方法。
  2. 請求項1に記載の方法であって、前記検査が、前記1つまたは複数の表面の画像データを捕捉することをさらに含む方法。
  3. 請求項1に記載の方法であって、前記ツールモデルが、前記処理の性能の変化に対する、検査される前記1つまたは複数の表面の変化の推移を追跡する方法。
  4. 請求項3に記載の方法であって、
    基板の前記処理の性能のシフトを識別するステップであって、前記シフトが、許容できる性能の窓の外であるステップと、
    前記基板を処理するために使用されるレシピの1つまたは複数のパラメータを識別するステップと、
    ループ制御を処理して、前記レシピの前記識別された1つまたは複数のパラメータを変え、前記処理の前記性能に影響を及ぼして、許容できる性能の窓内に戻るようにシフトするステップと
    をさらに含む方法。
  5. プラズマ処理チャンバを操作するための方法であって、
    前記プラズマ処理チャンバ内の基板を処理するためのレシピを識別するためのステップであって、前記レシピが、供給すべき化学物質に関するパラメータと、前記処理に使用すべき前記プラズマ処理チャンバの状態とを識別し、前記処理が、所定レベルの性能を生成するように構成されるステップと、
    前記プラズマ処理チャンバの内部領域をスコープで検査して、前記内部領域内の表面の物理的状態を決定するステップと、
    前記基板の表面特性を検査することによって前記処理を分析するステップと、
    前記プラズマ処理チャンバのためのツールモデルを参照するステップであって、前記ツールモデルが、前記基板を処理するために使用される前記レシピにさらに関連付けられるステップと、
    前記レシピの前記パラメータの少なくとも1つを、前記プラズマ処理チャンバの前記内部領域および前記基板の表面特性の前記検査に基づいて決定された前記物理的状態に対応する前記ツールモデルによって提供される情報に基づいて調節するステップであって、前記調節が、前記所定レベルの性能の外にある性能の測定基準のドリフトを実質的に防止するように構成されるステップと
    を含む方法。
  6. 請求項5に記載の方法であって、
    前記表面の前記物理的状態を決定するために、前記スコープを用いて前記プラズマ処理チャンバの前記内部領域の前記検査を繰り返すステップと、
    前記繰り返される検査のために、
    前記ツールモデルを参照するステップと、
    前記性能の前記測定基準または別の測定基準の前記ドリフトを実質的に防止し続けるために、前記パラメータの1つまたは複数を調節することが必要とされるどうかを判定するステップと、
    制御ループを処理して、前記レシピの前記パラメータの1つまたは複数を調節するように制御装置に命令するステップと
    をさらに含む方法。
  7. 請求項6に記載の方法であって、前記プラズマ処理チャンバの内部の前記検査の前記繰り返しが、前記プラズマ処理チャンバの真空を破壊することなく行われる方法。
  8. 請求項6に記載の方法であって、前記プラズマ処理チャンバの内部の前記検査の前記繰り返しが、前記プラズマ処理チャンバの真空を破壊することなく、1つまたは複数の基板の処理の合間に行われる方法。
  9. 請求項5に記載の方法であって、前記チャンバの内部の前記検査が、前記プラズマ処理チャンバの前記内部領域の2つ以上の表面の物理的状態を決定することを含む方法。
  10. 請求項9に記載の方法であって、前記物理的状態が、
    前記2つ以上の表面に堆積された物質の特性を定量化する、
    前記内部領域に露出されたチャンバ部分の摩耗レベルを定量化する、または
    堆積された物質の特性と前記チャンバ部分の摩耗レベルとの両方を定量化する
    方法。
  11. 請求項10に記載の方法であって、前記表面に堆積された物質の前記定量化された特性、または前記チャンバ部分の摩耗レベルが、前記ツールモデルによって、前記レシピを使用して前記プラズマ処理チャンバ内の前記基板を処理する予測された性能と相関付けられる方法。
  12. 請求項5に記載の方法であって、前記ツールモデルが、前記プラズマ処理チャンバの較正に基づいて生成され、前記較正が、
    (a)前記プラズマ処理チャンバ内の基板を処理するステップであって、前記基板の前記処理が、前記プラズマ処理チャンバの前記内部領域内の表面に付着する粒子状残渣を生成するステップと、
    (b)前記基板の前記表面特性の前記検査による前記処理の前記分析によって、前記基板の前記処理の性能を特徴付けるステップと
    (c)前記内部領域の物理的属性を特徴付けるために、処理後に前記プラズマ処理チャンバの前記内部領域を検査するステップであって、前記物理的属性が、前記表面に付着する粒子状残渣および/または前記プラズマ処理チャンバ内の消耗部品のステータスに関係するステップと、
    (d)前記特徴付けられた性能と前記特徴付けられた物理的属性との相関によってツールモデルを更新するステップとを含み、前記(a)~(d)が、前記ツールモデルでの前記相関を最適化するために複数回繰り返される
    方法。
  13. 請求項12に記載の方法であって、前記処理の前記性能の前記特徴付けが、計測ツールを使用して、基板の表面特性から前記処理の前記性能を検査することを含む方法。
  14. プラズマ処理システムであって、
    基板を処理するときにプラズマに露出される内部領域を有するチャンバであって、前記内部領域が、前記チャンバの構造の表面と前記チャンバの消耗部品の表面とを含む、チャンバと、
    前記基板を処理するときに使用すべきレシピの処理を制御するための、前記チャンバとインターフェースされる制御装置であって、前記レシピが、化学物質の供給に関して設定すべき複数のパラメータと、前記チャンバの設定条件とを定義する、制御装置と、
    スコープの制御を可能にするために前記チャンバとインターフェースされる検出器モジュールであって、前記スコープが、前記チャンバの真空を破壊することなく前記チャンバの前記内部領域を検査するために前記チャンバに挿入されるように構成され、前記検出器モジュールからのデータが、前記スコープを介して検査された前記表面に存在する物質の特性を識別するために使用され、前記スコープは、
    検査された前記表面に向けて光波信号を放出するための放出器を有する面であって、前記表面は、前記チャンバの消耗部品である、面と、
    前記スコープの前記面に一体化され、前記放出器に隣接して配設されたセンサであって、前記消耗部品の前記表面から発する反射光波長を捕捉するためのものである、センサと、を備える検出器モジュールと、
    前記スコープの前記面が前記チャンバの前記内部領域の前記消耗部品または他の消耗部品に向くように角度決定することを含み、前記スコープの前記面を1つまたは複数の位置に移動させるように構成された位置決めシステムであって、基板の処理の合間に前記スコープを前記内部領域に移動させ、基板の処理中に前記スコープを前記内部領域から外に移動させるように構成された位置決めシステムと、
    前記表面に存在する前記物質の識別された特性に関する情報と、1つまたは複数の基板の検査から得られた前記レシピのプロセス性能に関する情報とを受信して、ツールモデルを構築するように構成されたツールモデル処理装置であって、前記ツールモデルが、前記表面に存在する前記物質の前記特性と、前記レシピの前記プロセス性能に関する情報との相関を維持する、ツールモデル処理装置と
    を備えるプラズマ処理システムであって、
    ツールモデルが、1つまたは複数の追加の基板を処理するときに前記ツールモデル処理装置によって更新される
    プラズマ処理システム。
  15. 請求項14に記載のプラズマ処理システムであって、前記検出器モジュールが、
    カメラとインターフェースされた画像処理装置をさらに含み、前記カメラが、前記スコープと一体化され、前記カメラが、前記チャンバの前記内部領域の表面の画像をキャプチャするように構成され、前記表面の前記キャプチャされた画像に関する情報が、前記ツールモデルに追加され、前記ツールモデル処理装置が、2つ以上の前記キャプチャされた画像の比較を行って、前記チャンバの前記領域の内部の表面のさらなる特性を識別するように構成され、前記キャプチャされた画像から識別された特性が、前記レシピの前記プロセス性能に関する前記情報に相関付けられる
    プラズマ処理システム。
  16. 請求項14に記載のプラズマ処理システムであって、紫外-赤外範囲内の光波信号の放出を制御するように構成された光学処理装置をさらに備え、反射光波長が、前記センサまたは前記スコープのセンサによって捕捉され、光検出器が、前記光学処理装置による処理のために前記反射光波長をサンプリングするために使用されるプラズマ処理システム。
  17. 請求項16に記載のプラズマ処理システムであって、前記光学処理装置によって処理された前記反射光波長が、所定の表面状態に対応付けられた情報を提供するように構成される、プラズマ処理システム。
  18. 請求項14に記載のプラズマ処理システムであって、前記スコープが、隔離弁を介して前記チャンバとインターフェースされる、プラズマ処理システム。
  19. 請求項14に記載のプラズマ処理システムであって、前記制御装置が、前記ツールモデル処理装置を処理する、または前記ツールモデル処理装置とインターフェースするように構成される、プラズマ処理システム。
  20. 請求項14に記載のプラズマ処理システムであって、
    基板の前記処理が前記レシピに関する許容できる窓の外にドリフトした時の基準を識別するためのプロセスドリフト分析器
    をさらに備えるプラズマ処理システム。
JP2017149669A 2016-08-03 2017-08-02 プラズマ処理システムを監視するための方法およびシステム、ならびに高度なプロセスおよびツール制御 Active JP7068784B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662370658P 2016-08-03 2016-08-03
US62/370,658 2016-08-03
US15/657,088 US10269545B2 (en) 2016-08-03 2017-07-21 Methods for monitoring plasma processing systems for advanced process and tool control
US15/657,088 2017-07-21

Publications (2)

Publication Number Publication Date
JP2018026558A JP2018026558A (ja) 2018-02-15
JP7068784B2 true JP7068784B2 (ja) 2022-05-17

Family

ID=61071444

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017149669A Active JP7068784B2 (ja) 2016-08-03 2017-08-02 プラズマ処理システムを監視するための方法およびシステム、ならびに高度なプロセスおよびツール制御

Country Status (5)

Country Link
US (2) US10269545B2 (ja)
JP (1) JP7068784B2 (ja)
KR (1) KR102448687B1 (ja)
CN (1) CN107689318B (ja)
TW (1) TWI799385B (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10861677B2 (en) * 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10957521B2 (en) 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
US10916411B2 (en) * 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
US10818482B2 (en) * 2018-09-27 2020-10-27 Tokyo Electron Limited Methods for stability monitoring and improvements to plasma sources for plasma processing
KR101965605B1 (ko) 2018-11-02 2019-08-13 주식회사 아이브이웍스 박막 증착 공정을 제어하기 위한 장치, 방법 및 명령을 기록한 기록 매체
US11036202B2 (en) 2018-12-13 2021-06-15 Lam Research Corporation Real-time health monitoring of semiconductor manufacturing equipment
KR20210119541A (ko) * 2019-02-14 2021-10-05 램 리써치 코포레이션 기판 제작 시스템들을 위해 데이터 분석 및 머신 러닝을 지원하기 위한 데이터 캡처 및 변환
JP7183090B2 (ja) * 2019-03-20 2022-12-05 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11114286B2 (en) 2019-04-08 2021-09-07 Applied Materials, Inc. In-situ optical chamber surface and process sensor
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
GB201916079D0 (en) * 2019-11-05 2019-12-18 Spts Technologies Ltd Apparatus and method
US11499869B2 (en) 2019-11-13 2022-11-15 Applied Materials, Inc. Optical wall and process sensor with plasma facing sensor
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
JP2023511196A (ja) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション 半導体反応チャンバの保護コーティング
US11894250B2 (en) * 2020-03-31 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for recognizing and addressing plasma discharge during semiconductor processes
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US12009191B2 (en) 2020-06-12 2024-06-11 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US11545379B2 (en) * 2020-07-31 2023-01-03 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
KR102628877B1 (ko) * 2020-09-02 2024-01-25 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 상태 감시 방법
US11284018B1 (en) * 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
CN114446748B (zh) * 2020-10-30 2024-05-10 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其工作方法
US11587765B2 (en) 2020-11-22 2023-02-21 Applied Materials, Inc. Plasma ignition optimization in semiconductor processing chambers
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
WO2023286142A1 (ja) * 2021-07-13 2023-01-19 株式会社日立ハイテク 診断装置及び診断方法並びにプラズマ処理装置及び半導体装置製造システム
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20230185255A1 (en) * 2021-12-14 2023-06-15 Applied Materials, Inc. Post preventative maintenance chamber condition monitoring and simulation
WO2023154663A1 (en) * 2022-02-08 2023-08-17 Lam Research Corporation Evaluation of plasma uniformity using computer vision
USD1031743S1 (en) 2022-05-06 2024-06-18 Applied Materials, Inc. Portion of a display panel with a graphical user interface

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040253824A1 (en) 2001-12-07 2004-12-16 Volker Tegeder Arrangement for monitoring a thickness of a layer depositing on a sidewall of a processing chamber
JP2005033228A (ja) 2004-10-18 2005-02-03 Hitachi Ltd プラズマ処理装置および処理方法
JP2005197503A (ja) 2004-01-08 2005-07-21 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2006196716A (ja) 2005-01-14 2006-07-27 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体装置の製造方法
JP2012532462A (ja) 2009-06-30 2012-12-13 ラム リサーチ コーポレーション プラズマチャンバ検証用のエッチング速度均一性を予測する方法及び装置
US20160198558A1 (en) 2013-08-12 2016-07-07 Nanyang Technological University An apparatus and method for diagnostics of netural radicals in plasma

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5746835A (en) * 1994-06-02 1998-05-05 Texas Instruments Incorporated Retractable probe system with in situ fabrication environment process parameter sensing
JP3543947B2 (ja) * 2000-05-16 2004-07-21 株式会社日立製作所 リアクタ内堆積膜厚モニタ装置およびドライプロセス処理方法
TW533526B (en) * 2000-10-06 2003-05-21 Applied Materials Inc Method and apparatus to provide for automated process verification and hierarchical substrate examination
JP3943022B2 (ja) 2000-12-01 2007-07-11 株式会社荏原製作所 基板検査装置
US7084369B2 (en) * 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US7313262B2 (en) * 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US20070242269A1 (en) * 2004-03-06 2007-10-18 Michael Trainer Methods and apparatus for determining characteristics of particles
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
US7750818B2 (en) * 2006-11-29 2010-07-06 Adp Engineering Co., Ltd. System and method for introducing a substrate into a process chamber
JP5117818B2 (ja) * 2007-10-30 2013-01-16 ルネサスエレクトロニクス株式会社 表面加工処理装置又は成膜処理装置の異物検査・解析のための管理装置及び方法
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US20100076729A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Self-diagnostic semiconductor equipment
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
KR20150106974A (ko) * 2014-01-29 2015-09-23 세메스 주식회사 기판처리장치 및 방법
KR102410526B1 (ko) * 2015-01-22 2022-06-20 삼성디스플레이 주식회사 플라즈마 발생장치의 오염측정장비

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040253824A1 (en) 2001-12-07 2004-12-16 Volker Tegeder Arrangement for monitoring a thickness of a layer depositing on a sidewall of a processing chamber
JP2005197503A (ja) 2004-01-08 2005-07-21 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2005033228A (ja) 2004-10-18 2005-02-03 Hitachi Ltd プラズマ処理装置および処理方法
JP2006196716A (ja) 2005-01-14 2006-07-27 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体装置の製造方法
JP2012532462A (ja) 2009-06-30 2012-12-13 ラム リサーチ コーポレーション プラズマチャンバ検証用のエッチング速度均一性を予測する方法及び装置
US20160198558A1 (en) 2013-08-12 2016-07-07 Nanyang Technological University An apparatus and method for diagnostics of netural radicals in plasma

Also Published As

Publication number Publication date
JP2018026558A (ja) 2018-02-15
TWI799385B (zh) 2023-04-21
US20180040460A1 (en) 2018-02-08
CN107689318A (zh) 2018-02-13
KR20180015582A (ko) 2018-02-13
TW201820937A (zh) 2018-06-01
US20190252163A1 (en) 2019-08-15
US11276564B2 (en) 2022-03-15
US10269545B2 (en) 2019-04-23
KR102448687B1 (ko) 2022-09-28
CN107689318B (zh) 2019-12-06

Similar Documents

Publication Publication Date Title
JP7068784B2 (ja) プラズマ処理システムを監視するための方法およびシステム、ならびに高度なプロセスおよびツール制御
US10847430B2 (en) Method of feature exaction from time-series of spectra to control endpoint of process
US10697874B2 (en) Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
KR102546407B1 (ko) 반도체 제작 장비 내의 소모성 부품의 마모 검출
KR20210102985A (ko) 반도체 장비에 대한 결함 분류 및 소스 분석
JP7137943B2 (ja) 探索装置、探索方法及びプラズマ処理装置
KR20220066367A (ko) 광 간섭계 및 반사계를 사용하여 반도체 장비의 자율적 (autonomous) 프로세스 제어 및 최적화를 위한 시스템들 및 방법들
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
US10895539B2 (en) In-situ chamber clean end point detection systems and methods using computer vision systems
JPWO2004019396A1 (ja) プラズマ処理方法及びプラズマ処理装置
EP1700333A1 (en) Method and apparatus for seasoning semiconductor apparatus of sensing plasma equipment
JP2009295658A (ja) 半導体製造装置の校正方法、ならびに半導体装置の製造システムおよび製造方法
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
TW202242396A (zh) 薄膜光學量測用之系統及方法
CN117897795A (zh) 等离子体状态的图像分析

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220314

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220502

R150 Certificate of patent or registration of utility model

Ref document number: 7068784

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150