TWI709173B - 半導體製造設備中的可消耗零件之耗損偵測 - Google Patents

半導體製造設備中的可消耗零件之耗損偵測 Download PDF

Info

Publication number
TWI709173B
TWI709173B TW105126314A TW105126314A TWI709173B TW I709173 B TWI709173 B TW I709173B TW 105126314 A TW105126314 A TW 105126314A TW 105126314 A TW105126314 A TW 105126314A TW I709173 B TWI709173 B TW I709173B
Authority
TW
Taiwan
Prior art keywords
chamber
distance
sensor
consumable part
consumable
Prior art date
Application number
TW105126314A
Other languages
English (en)
Other versions
TW201719749A (zh
Inventor
貝瑞特 C 理察森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201719749A publication Critical patent/TW201719749A/zh
Application granted granted Critical
Publication of TWI709173B publication Critical patent/TWI709173B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N3/00Investigating strength properties of solid materials by application of mechanical stress
    • G01N3/56Investigating resistance to wear or abrasion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提出用以判定半導體處理設備中的可消耗零件之耗損的方法、系統、及電腦程式。一腔室包含一基準零件、一可消耗零件、用以傳遞基板至腔室中的一傳遞臂、在傳遞臂上的一感測器、及一控制器。該基準零件於該腔室之操作期間不受耗損,而該可消耗零件係會受到耗損的。該感測器係用以在該傳遞臂行進於該可消耗零件附近時測量從該感測器至該可消耗零件之表面的一第一距離,且該感測器係用以在該傳遞臂行進於該基準零件附近時測量從該感測器至該基準零件之表面的一第二距離。該控制器基於該第一距離及該第二距離而判定該可消耗零件的耗損量。

Description

半導體製造設備中的可消耗零件之耗損偵測
本發明係關於偵測半導體製造設備中的可消耗零件之耗損的方法、系統、及程式。
電漿長期以來一直用以處理基板(例如,晶圓或平板)以形成電子產品(例如,積體電路或平板顯示器)。半導體晶圓一般係放置在蝕刻腔室中且具有一光阻遮罩層以引導底層材料之蝕刻。蝕刻處理移除了未被光阻覆蓋的的底層材料。蝕刻系統在腔室中具有可消耗零件,該等可消耗零件於腔室之操作期間內耗損。而這使吾人需要定期更換可消耗零件以根據規格(其中包含臨界尺寸(CD)控制、蝕刻均勻性、及缺陷率)而維持在晶圓上的處理性能。在生產環境中,單一的蝕刻腔室可用於多個蝕刻處理,而其中每一者可能對腔室可消耗零件的的耗損率具有不同的影響。這使得吾人很難預測何時一零件會耗損並須要維護,且若存在可用以補償耗損的已知處理調整時,可能很難知道應何時做出即時的調整。
一些系統管理員基於腔室處理時數、處理晶圓的數量、或超出規格之晶圓量測數據而進行可消耗零件更換。然而,由於系統必須考慮到最壞情況下的處理條件,基於時間的維護排程可能會導致過早的腔室零件更換。另外,基於晶圓量測之維護、或處理調整可能在反饋回應上承受幾個小時或幾天 的延遲一直到蝕刻後量測的測試完成,而這在偵測處理故障的同時使晶圓暴露於風險。
在此背景下本發明產生。
提出方法、裝置、系統、及電腦程式以判定半導體處理設備中之可消耗零件的耗損。吾人應理解,本發明可以許多方式加以實行,例如方法、設備、系統、裝置、或電腦可讀媒體上的電腦程式。以下描述幾個實施例。
可對包含一或更多電腦的系統進行配置,用以藉由將操作會導致系統執行動作的軟體、韌體、硬體、或其組合安裝在系統上而執行特定操作或動作。可對一或更多電腦程式進行配置,用以藉由包含複數指令而執行特定操作,該等指令當由數據處理設備執行時會使該設備執行操作。一廣義實施態樣包含用以處理基板的一腔室,該腔室包含一基準零件、一可消耗零件、一傳遞臂、一感測器、及一控制器。該基準零件於該腔室之操作期間不受耗損,而該可消耗零件於該腔室之操作期間受到耗損。該感測器係用以在該傳遞臂行進於該可消耗零件附近時測量從該感測器至該可消耗零件之表面的一第一距離。另外,該感測器係用以在該傳遞臂行進於該基準零件附近時測量從該感測器至該基準零件之表面的一第二距離。該控制器係用以基於該第一距離及該第二距離而判定該可消耗零件的耗損量。此態樣之其他實施例包含對應的電腦系統、及記錄在一或更多電腦儲存裝置上的電腦程式,其中每一者係用以執行該等方法之動作。
實行例可包含以下特徵其中一或更多者。如所述之腔室,其中該控制器計算在該可消耗零件之表面的一平面與該基準零件之表面的一平面之間的一距離差,該距離差等於該第一距離減去該第二距離。如所述之腔室,其 中該控制器從首次安裝該可消耗零件時開始隨著時間追蹤該距離差之改變。如所述之腔室,其中該控制器判定當該距離差相較於首次安裝該可消耗零件時所測量到之距離差改變一預定量時該可消耗零件應進行更換。如所述之腔室,其中判定該可消耗零件的該耗損量無須打開腔室,其中該感測器為非接觸式遠距測量裝置。如所述之腔室,其中該控制器計算在該可消耗零件之表面的一平面與該基準零件之表面的一平面之間的一距離差,該距離差等於該第一距離減去該第二距離,其中該控制器基於從首次安裝該可消耗零件時開始該距離差隨著時間之改變而判定一處理參數改變以補償該可消耗零件之耗損。如所述之腔室,其中該感測器係連接至該傳遞臂的一末端作用器。如所述之腔室,其中該感測器為深度相機、或共焦彩色測量裝置、或低同調性干涉測量裝置、或電容距離感測器、或顏色改變偵測器其中一者。所述之腔室更包含一站,該站係位於該真空傳遞腔室或一負載鎖室中用以儲存該感測器,其中該傳遞臂自在該真空傳遞腔室或該負載鎖室中的該站裝載該感測器。如所述之腔室,其中該可消耗零件為一邊緣環,其中該基準零件為一卡盤用以於該腔室之操作期間固持該基板。如所述之腔室,其中該感測器係無線連接至該控制器,其中該感測器包含一電池。如所述之腔室,其中該感測器係安裝在該傳遞臂的一末端作用器上。如所述之腔室,其中該感測器係安裝在與基板相似的一結構上,使得該傳遞臂以如同該傳遞臂裝載一基板的方式裝載該感測器。
一廣義實施態樣包含用以判定可消耗零件之耗損的方法,該方法包含一操作用以將一基板裝載在一半導體製造腔室中的一傳遞臂上,該傳遞臂包含一感測器。該方法亦包含用一操作用以於該傳遞臂進行於該可消耗零件附近時以該感測器測量從該感測器至一可消耗零件之表面的一第一距離,該可消耗零件於該腔室之操作期間受到耗損。該方法亦包含一操作用以於該傳遞臂進行於一基準零件附近時以該感測器測量從該感測器至該基準零件之表面的一 第二距離,該基準零件於該腔室之操作期間不受耗損。該方法亦包含一操作用以基於該第一距離及該第二距離而判定該可消耗零件的一耗損量。
一廣義實施態樣包含用以處理基板之腔室,該腔室包含一基準零件、一可消耗零件、一傳遞臂、一感測器、及一控制器。該可消耗零件於該腔室之操作期間積累沉積物。另外,該傳遞臂係用以將該基板傳遞至該腔室中。該感測器係在該傳遞臂上,該感測器係用以在該傳遞臂行進於該可消耗零件附近時測量從該感測器至該可消耗零件之表面的一第一距離,其中該感測器係用以在該傳遞臂行進於該基準零件附近時測量從該感測器至該基準零件之表面的一第二距離。該控制器係用以基於該第一距離及該第二距離而判定該可消耗零件的一沉積量。此態樣的其它實施例包含對應的電腦系統、設備、及記錄在一或更多電腦儲存裝置上的電腦程式,其中每一者係用以執行該等方法之動作。
從以下配合隨附圖式所做出之詳細描述,將更清楚本發明的其他態樣。
102:基板
104:卡盤
106:邊緣環
108:傳遞臂
110:距離d
112:感測器
114:腔室
116:上電極
120:電漿
122:控制器
123:配方
124:射頻(RF)來源
126:泵浦
128:氣體來源
136:腔室壁蓋
138:限制環
202:距離d1
204:距離d2
320a-320d:處理模組
322:自動機
324:末端作用器
330:氣匣
332:前端自動機
334:前開式晶圓傳送盒(FOUP)
336:刻面
338:真空傳遞模組(VTM)
340:大氣傳送模組(ATM)
342:裝載埠模組(LPM)
344:對準器
402:傳遞臂
404a~404b:末端作用器
406:導線
408:距離感測器
420:樞軸點
422:機械臂
434:距離感測器
438:真空感測器
502:距離感測器
504:晶圓狀結構
506:距離感測器
702:操作
704:操作
706:操作
708:操作
800:電腦系統
804:中央處理單元(CPU)
806:隨機存取記憶體(RAM)
808:系統控制器程式
810:匯流排
812:唯讀記憶體(ROM)
814:大量儲存裝置
818:顯示器
820:輸出/輸入(I/O)介面
822:鍵盤
824:游標控制器
830:網路介面
832:網路
834:可移除式媒體裝置
參考以下配合隨附圖式所做的詳細描述可以最好地理解本發明。
根據一實施例,圖1繪示了電容耦合電漿處理系統中的晶圓之裝載。
根據一實施例,圖2A為晶圓的邊緣部分的細部側視圖。
根據一實施例,圖2B繪示了由於邊緣環頂部表面的侵蝕所導致之晶圓上的缺乏電漿均勻性。
根據一實施例,圖2C繪示了裝載晶圓時從距離感測器至邊緣環的距離之測量。
根據一實施例,圖2D繪示了裝載晶圓時從距離感測器至卡盤的頂部表面之距離的測量。
圖3描繪了一典型的半導體製程群集架構,該半導體製程群集工具架構繪示了與一真空傳遞模組(VTM)介接的各種模組。
根據一實施例,圖4A繪示了具有二末端作用器及一距離感測器的傳遞臂。
根據一實施例,圖4B繪示了具有單一的末端作用器及距離感測器的傳遞臂。
根據一實施例,圖5A為傳遞臂的底部視圖,該傳遞臂具有夾固至末端作用器的距離感測器。
根據一實施例,圖5B繪示了具有嵌入式距離感測器的晶圓狀裝置。
根據一實施例,圖6繪示了在邊緣環的頂部表面與卡盤之間的垂直距離隨著時間之改變。
根據一實施例,圖7為一方法之流程圖,該方法係用以判定半導體處理設備中的可消耗零件之耗損。
圖8為用以實行本揭露內容之實施例的電腦系統之簡化示意圖。
一腔室包含用以於處理期間固持基板的一卡盤、用以於處理期間圍繞基板的一邊緣環、用以將基板傳遞至該卡盤的一傳遞臂、在該傳遞臂上的一距離感測器、及一控制器。該距離感測器係用以於傳遞臂行進越過邊緣環時測量從距離感測器至邊緣環之頂部表面的一第一距離,且該距離感測器亦係用以於傳遞臂行進越過卡盤時測量從距離感測器至卡盤之頂部表面的一第二距 離。由於在第一距離與第二距離之間的差係該邊緣環之耗損量的指標,所以該控制器係配置成基於第一距離及第二距離而判定邊緣環的耗損量。當該耗損量超過一預定臨界值時,則是時候更換該邊緣環。
本發明提出方法以原位地(in-situ)並使用非接觸式表面輪廓量測(例如,共焦彩色測量,低同調性干涉、或電容距離感測器)來測量蝕刻腔室中可消耗零件的耗損率及輪廓。距離感測器係設置在傳遞臂上,或設置在分開的探針臂上,且係定期地透過晶圓傳遞門插入至腔室中。目標零件的耗損輪廓係藉由隨著時間測繪從距離感測器至可消耗零件的距離而產生。
顯而易見的,本實施例可被實行而無須一些或全部的特定細節。在其他情況下,為了不對本實施例造成不必要地混淆,眾所周知的處理操作則沒有被詳述。
根據一實施例,圖1繪示了電容耦合電漿處理系統中的晶圓之裝載。電容耦合電漿處理系統包含用以處理電漿的腔室114、控制器122、射頻(RF)來源124、泵浦126、及一或更多氣體來源128。在一些實施例中,腔室可具有連接至頂部電極的一或更多RF來源。腔室114包含用以支撐欲處理之基板102(亦稱為晶圓)的卡盤104(例如,靜電卡盤)、及邊緣環106。在一些實施例中,腔室114亦可包含用以限制腔室中之電漿的限制環138、及腔室壁蓋136。
圖1繪示一基板102正藉由傳遞臂108而傳遞至腔室中。在傳遞期間,限制環138向上移動以容許傳遞臂透過在腔室側面上的一槽口進入腔室114中。在腔室的操作期間,將限制環138降低,使得限制環138覆蓋腔室壁蓋136以避免與電漿接觸。
在一實施例中,傳遞臂108包含一感測器112以測量從距離感測器112至遠方表面的距離而無須接觸遠方表面。換言之,該距離感測器能夠藉由使用光學、聲波、或無線電設備而測量至遠方表面的距離。
腔室中的零件其中一些為可消耗零件,由於腔室中蝕刻或其它處理的影響,該等可消耗零件在一定數目的操作時數之後因零件劣化而必須進行更換。例如,邊緣環106、限制環138、腔室壁蓋136為可消耗零件之範例,但其他的腔室可包含亦為可消耗且需要隨著時間進行更換的額外零件。本文中所呈現之實施例係參照測量邊緣環106上的耗損而描述,但所提出的原理可用以測量在腔室內的任何其他可消耗零件上之耗損。
在一實施例中,距離d 110係定義為在邊緣環106的頂部表面與卡盤104的頂部表面之間的垂直距離(換言之,距離d 110係測量為邊緣環106之頂部表面與卡盤104之頂部表面所界定的平面之間的垂直距離)。一般而言,由於卡盤104的頂部表面一般不會改變(因為卡盤於腔室之操作期間內被基板102所覆蓋),所以距離d因邊緣環的耗損而隨著時間改變。
RF來源124可包含多個RF來源、或能夠產生在從約100kHz至約300MHz之間的多個頻率之RF信號的單一RF來源。舉例而言,一些RF信號具有約27MHz至約60MHz的頻率。RF信號可具有在約50W與約10kW之間的RF功率。舉例而言,在約100W與約1500W之間。RF來源124可產生脈衝或非脈衝RF信號。
控制器122包含了用以對電漿處理系統進行通信、監控、及控制之處理器、記憶體、軟體邏輯、硬體邏輯、及輸入與輸出子系統。控制器122亦包含一或更多配方123,該一或更多配方包含了用以操作電漿處理系統的各樣操作參數(例如,電壓、電流、頻率、壓力、流率、功率、溫度、等)之多個設定點。在一實施例中,控制器122係用以基於距離感測器112所獲得的距離參數而判定可消耗零件上的耗損。藉由對隨著時間取得的距離測量結果進行比較,控制器得以判定該零件上的耗損。例如,至邊緣環106的測量距離增加意 味著邊緣環106在頂部上耗損。因此,當邊緣環106上的耗損超過一預定臨界值時,控制器會設置一警報以更換邊緣環106。
腔室114亦包含上電極116。在操作中,上電極116一般為接地的,但亦可將其偏壓或連接至一第二RF來源(未顯示)。RF來源124提供RF信號至卡盤104,且氣體來源128將想要的處理氣體(或複數處理氣體)注入至腔室114中。電漿120接著在上電極116與卡盤104之間形成。電漿120可用以蝕刻基板102的表面、或使在腔室114的各樣內表面上形成的沉積物揮發。
在一些實施例中,控制器為系統的一部分,該系統可為上述範例的一部分。這樣的系統可包含半導體處理設備,其中包含了一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理的一平台或複數平台、及/或特定的處理元件(晶圓底座、氣體流動系統、等)。這些系統可與複數電子元件整合,該等電子元件係用以於半導體晶圓或基板的處理之前、期間內、及之後控制該等系統之操作。該等電子元件可稱為「控制器」,其可控制該系統或複數系統的各樣元件或子部分。根據處理須求及/或系統類型,控制器可被程式化來控制本文中所揭露的任何處理,其中包含了處理氣體之傳輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳輸設定、位置及操作設定、晶圓傳遞(進入與離開連接至特定系統、或與特定系統介接之工具及其他傳遞工具及/或負載鎖室)。
廣義而言,控制器可定義為具有各樣用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能的積體電路、邏輯、記憶體、及/或軟體之電子元件。積體電路可包含了儲存程式指令之韌體形式晶片、數位信號處理器(DSPs)、定義為特定應用積體電路(ASICs)之晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。 程式指令可為以各樣的單獨設定(或程式檔案)之形式通訊至控制器的指令,該等指令定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間內完成一或更多處理步驟的配方的一部分。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦與該系統整合、連接至該系統、以其他方式網路連接至該系統、或其組合。例如,控制器可在「雲端」中、或可為使得晶圓處理之遠端控制得以進行之工廠主機電腦系統的全部或一部分。該電腦可使對系統之遠端控制得以進行以監控製造操作的當前處理、檢驗過去製造操作的歷史記錄、檢驗複數製造操作的趨勢或效能評量,俾以改變當前處理的參數、設置在當前處理之後的處理步驟、或開始新處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者界面,該使用者介面使得吾人得以進行參數及/或設定之輸入或程式化,該等參數及/或設定接著從遠端電腦被通訊至該系統。在一些範例中,控制器接收數據形式之指令,該等指令為待於一或更多操作期間內執行的處理步驟其中每一者指定了參數。吾人應理解,該等參數係針對欲執行的處理類型、及控制器與其介接或對其進行控制之工具類型。因此如上面所述,控制器可為分散式的,例如藉由包含一或更多網路連接在一起並朝著共同目標工作(例如達成本文中所描述之處理及控制)的獨立控制器。用於這樣的目標的分散式控制器之一範例為腔室中的一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦的一部分)的一或更多積體電路通訊而相結合以控制腔室中的處理。
非限制性地,範例性系統包含了電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍附腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何其他可與半導體晶圓之加工及/或製造關聯或其中使用的半導體處理系統。
如上面所述,依據工具待執行的處理步驟或複數步驟,控制器可與其他工具電路或模組、其它工具元件、叢聚式工具、其它工具介面、鄰接的工具、鄰近的工具、遍佈工廠的工具、主電腦、另一控制器、或在材料運送中所使用的工具其中一或更多者通訊,其中在材料運送中所使用的工具係用以將晶圓之容器運送至半導體製造工廠中之裝載埠及/或工具的位置、或從半導體製造工廠中之裝載埠及/或工具的位置取出。
根據一實施例,圖2A為晶圓的邊緣部分的細部側視圖。邊緣環106圍繞著靜電卡盤104。在一實施例中,邊緣環106之表面的一部分於基板102的邊緣下方延伸,但在其他實施例中,邊緣環106可相鄰於基板102而沒有支撐著基板102。由於基板102係由卡盤104所支撐著,所以RF功率被驅動穿過卡盤並進入晶圓中。
在蝕刻處理期間內,蝕刻副產物沉積在腔室114的內表面上。蝕刻副產物可包含聚合體殘餘物、鈦及其他金屬化合物及矽化合物。蝕刻副產物可沉積在腔室114內之任何電漿120解離的處理氣體物種可擴散至的表面上(包含了邊緣環106及電漿處理腔室的其它內表面)。此外,腔室的一些零件隨著時間過去可因蝕刻處理而被侵蝕(例如,減少了邊緣環106的厚度)。
圖2A顯示了在操作期間邊緣環106的頂部表面與基板102的頂部表面是如何為實質上共平面的。因此,電漿係與在腔室之底部的一均勻表面相 接觸,該均勻表面涵蓋基板及邊緣環。由於該表面具有連續性,因此電漿在基板的整個表面上為均勻的,從而導致了基板的均勻處理。
根據一實施例,圖2B繪示了由於邊緣環頂部表面的侵蝕所導致之晶圓上的缺乏電漿均勻性。在一些腔室中,存在著一些關鍵元件,該等關鍵元件可決定在腔室必須開啟並更換可耗損零件之前腔室可進行操作的時間量。這些關鍵零件其中一者為邊緣環106。例如,一些方針指出,一般而言在腔室操作300小時之後,邊緣環耗損且必須進行更換。有時候,腔室在不同的產品、不同類型的蝕刻處理等混合條件下操作,而這可能影響在可消耗零件必須進行更換之前可消耗零件能持續多久。有些管理員在獨立於可消耗零件是否實際上已經耗損的情況下設定在更換可消耗零件之前的腔室操作時數。
圖2B顯示了已操作很長一段時間的邊緣環106。邊緣環106的頂部表面較圖2A中所繪示的情形更低。在圖2B中,由於在邊緣環的頂部表面上的耗損,邊緣環106的頂部表面與卡盤的頂部表面之間的距離d 110已經減少約一半。
現在,基板102的頂部表面與邊緣環的頂部表面不是共平面的。因此,產生電漿的腔室之底部表面為不均勻的,從而導致晶圓的表面上缺乏均勻性(尤其是在電漿不連續性發生的晶圓之邊緣)。因此,晶圓的邊緣沒有正確地進行處理,且全部或部分的晶圓將不會被根據規格處理。
與其保守地設定更換零件之前的腔室操作時數(通常是基於最差的情況),測量目標零件上的耗損(換言之,侵蝕率)並僅於絕對需要的時候打開腔室會是有利的。打開腔室以進行清潔是昂貴的操作,因為這意味著半導體製造設備的停機時間,且因為腔室必須經過完整的清洗(例如,濕式清潔)。此外,腔室可能必須進行重新認證,而此處理可能需要長達一天或兩天,機器在此期 間無法進行操作。如果能使機器在清理之間可進行操作的時間延長,這將產生顯著的性能改進及半導體製造設備的更好投資回報。
根據一實施例,圖2C繪示了裝載晶圓時從距離感測器至邊緣環的距離之測量。在一實施例中,距離感測器112(本文中亦稱為距離測量探針)係安裝在傳遞臂108上。當傳遞臂108移動進出腔室時,從距離感測器至腔室零件或複數零件的距離係加以測量並將與探針的位置相關聯以產生所測量零件之表面輪廓。
藉由監測表面輪廓,可接近實時地判定可消耗零件的耗損率。在一實施例中,距離感測器為光學距離測量裝置,例如共焦彩色測量系統或低同調性干涉裝置。這些裝置為足夠小的且具有偵測目標改變所需的解析度,該等裝置可在真空中進行操作,且可測量至導電體及非導電體的距離。在另一實施例中,電容式距離測量探針可適用於一些應用方式以測量安裝在金屬零件上方的介電材料或金屬零件上的耗損。
作為距離感測器的一範例,使用共焦測量而藉由多透鏡光學系統將多色白色光聚焦至目標表面上。透鏡係佈置成使得白色光色藉由控制的色像差而色散為單色光。藉由工廠校準而將至目標的一特定距離指派給每一波長。從目標表面反射的光通過一共焦孔至偵測及處理光譜改變的光譜儀。
當傳遞臂108進入腔室,傳遞臂108在邊緣環的正上方非常靠近邊緣環地通過。在一實施例中,當距離感測器112越過邊緣環106時,對距離感測器112與邊緣環106的頂部之間的距離d1 202進行了一或更多測量。
在一實施例中,控制器知道傳遞臂108的確切位置,所以藉由隨著時間追蹤距離d1 202,可能辨識在邊緣環106的頂部表面之位置上的改變。以此方式,可估計邊緣環106的耗損。
在一實施例中,於首次將邊緣環放置在腔室中時測量距離d1。之後,週期性地測量距離d1並與原來的d1比較。當在第一d1與當前測量的d1之間的差高於一預定臨界值t1時,則是時候更換邊緣環。因此,藉由辨識在d1 202上的改變,吾人可以清楚地了解多少邊緣環被耗損。
在一實施例中,距離測量係於傳遞臂移動越過邊緣環時進行,但在另一實施例中,傳遞臂停止於邊緣環上方,且距離測量係於傳遞臂靜止時進行。
吾人須注意,所選擇之距離感測器的限制其中一者為,距離感測器當裝載至傳遞臂上時必須適合通過晶圓進入腔室的槽口,且距離感測器必須能夠避免撞擊或損壞腔室中的任何元件。
根據一實施例,圖2D繪示了裝載晶圓時從距離感測器至卡盤的頂部表面之距離的測量。在一實施例中,距離感測器112進行從距離感測器112至卡盤的頂部表面之距離d2 204的第二測量。接著,將在邊緣環106的頂部平面與卡盤的頂部表面之間的垂直距離d計算為d2-d1。當腔室進行操作時,由於基板覆蓋了卡盤104,卡盤104的頂部表面不會顯地著隨著時間改變。
在一實施例中,為了測量邊緣環106的耗損,隨著時間而監測在邊緣環的頂部表面與卡盤的頂部表面之間的垂直距離d。由於卡盤不發生改變,所以卡盤可做為一基準點以測量在邊緣環106上的改變。藉由使用卡盤作為基準,可將傳遞臂動作所導致的在至邊緣環之距離測量上的變異最小化。
在另一實施例中,於首次將邊緣環放置在腔室中時測量距離d。週期性地測量距離d並與原來的d進行比較。當在第一d與當前測量的d之間的差高於一預定臨界值t2時,則是時候更換邊緣環。因此,藉由辨識在d 110上的改變,吾人可以清楚地了解多少邊緣環被耗損。
在一實施例中,傳遞臂與邊緣環的頂部之間的距離可在從2至5mm的範圍內,但其他值亦為可能的。在另一實施例中,腔室的頂部窗口亦受隨著時間受到耗損,且可藉由使一距離感測器測量至腔室之頂部的距離而應用相同的原理測量耗損。從頂部窗口至傳遞臂的距離可在從5至6英寸的範圍內,但是其他的值亦為可能的。因此,距離感測器必須選擇為能夠在該範圍內精確地測量距離。
此外,應當注意,所提出之實施例包含了於基板裝載在傳遞臂上時進行距離測量,但亦可能在沒有基板置放於傳遞臂上的情況下進行測量。以此方式,若距離感測器係在基板裝載的位置下方,則基板不會擋到至腔室頂部之距離測量。
圖3描繪了一典型的半導體製程群集架構,該半導體製程群集工具架構繪示了與一真空傳遞模組(vacuum transfer module,VTM)介接的各種模組。用以在多個存儲設施與製程模組之間「傳遞」晶圓的傳遞模組之配置經常稱為「群集工具架構」系統。氣匣330(亦稱為負載鎖室或傳遞模組)係顯示於VTM 338中,該VTM具有四處理模組320a-320d,該等處理模組可各別最佳化以執行各樣的製造處理。舉例而言,可實行處理模組320a、320b、320c、及320d以執行變壓耦合電漿(TCP)基板蝕刻、層沉積、及/或濺射。一般論及氣匣330或處理模組320a時,有時使用術語「站」來指稱氣匣或處理模組其中任一者。每一站具有將該站介接至VTM 338的一刻面(facet)336。在每一刻面內,使用感測器以於基板102進入及離開各別的站時偵測基板之通過。
自動機322於站之間傳遞基板102。在一實施例中,自動機322具有一臂,而在另一實施例中自動機322具有二臂,其中每一臂具有一末端作用器324以拾取晶圓用於傳遞。前端自動機332在大氣傳送模組340(atmospheric transfer module,ATM)中係用以將晶圓從裝載埠模組(Load Port Module, LPM)342中的前開式晶圓傳送盒(Front Opening Unified Pod,FOUP)334或卡匣傳遞至氣匣330。在ATM 340中的對準器344係用以對準晶圓。
吾人應注意,控制晶圓移動的電腦可在群集架構的本機,或可位於在製造廠區中的某處,或在遠端位置且透過網路連接至群集架構。
根據一實施例,圖4A繪示了具有二末端作用器及一距離感測器的傳遞臂。在一實施例中,具有二末端作用器404a及404b的傳遞臂402包含連接至該等末端作用器其中一者的距離感測器408。在一實施例中,距離感測器為設置在末端作用器下方的一輪廓儀,這意味著當裝載晶圓時,距離感測器408會位於在晶圓下方。
在另一實施例中,距離感測器為一共焦彩色系統,該共焦彩色系統改變在一表面上的光之焦點深度並檢查反射光的量。在另一實施例中,距離感測器為一相機,其可設置為直接指向下方的表面,並在距離感測器中測量自光源反射的光量。在另一實施例中,可以一角度設置該相機,且反射的光量係基於至反射了來自感測器之光的表面的距離而改變。
距離感測器408透過導線406連接至控制器,其中導線406包含了用於距離感測器的電力、以及用以傳送數據的數據連線。
在一實施例中,該等VTM站其中一者可用以儲存感測器。當吾人想要進行測量時,傳遞臂自該站裝載感測器,並接著開始與感測器一起進入腔室以執行測量。在另一實施例中,距離感測器係永久性地安裝在末端作用器上,且可能於每次裝載晶圓或自腔室卸載晶圓時進行測量。
根據一實施例,圖4B繪示了具有單一的末端作用器及距離感測器的傳遞臂。機械臂422包含一樞軸點420,該樞軸點連接至一自動機結構,使得機械臂422得以繞著樞軸點420軸轉。在一實施例中,一或更多真空感測器438 藉由使用真空感測器上的吸力效果而辨識何時晶圓係適當地裝載在末端作用器上。
距離感測器434係永久性地設置於末端作用器下,這意味著當裝載基板時,距離感測器434係位於基板下方。當傳遞臂進入腔室時,距離感測器434行進越過邊緣環並越過卡盤(如先前所述),且可對邊緣環的頂部表面、及/或對卡盤的頂部表面進行距離測量。
在其它實施例中,距離感測器可位於末端作用器下方的其他位置。例如,距離感測器434可位於末端作用器的右指或左指上。在另一實施例中,末端作用器可位於真空感測器438下方,但只要距離感測器適合通過槽口進入腔室且不接觸到腔室內的任何其它零件,任何其他位置亦為可能的。
在再另一實施例中,距離感測器可設置在末端作用器的頂部表面上,但當距離感測器在邊緣環上方時晶圓並未裝載在末端作用器上,且末端作用器係在沒有裝載晶圓的情況下被導入至腔室中以進行測量。
根據一實施例,圖5A為傳遞臂108的底部視圖,該傳遞臂具有夾固至末端作用器的一距離感測器。在一實施例中,距離感測器502夾固至末端作用器的其中一側。在另一實施例(未顯示),距離感測器可整合在末端作用器本身中,且距離感測器具有低矮的輪廓以使該末端作用器的輪廓盡可能維持為相同的(如同未安裝距離感測器般)。
在一實施例中,距離感測器包含一電池(其可為可充電電池),且包含無線通信能力以傳輸測量數據至控制器。以此方式,就沒有需要對距離感測器進行配線以傳輸資訊或對距離感測器供電。
在另一實施例中,腔室壁上的侵蝕或耗損係藉由測量腔室壁的顏色變化而測定。沉積膜可為非常薄的,因此準確地測量沉積膜的厚度可為困難的。然而,藉由測量壁的顏色變化,有可能判定何時壁需要進行清潔或更換。
根據一實施例,圖5B繪示了具有嵌入式距離感測器的晶圓狀裝置。在一實施例中,距離感測器506係安裝在一結構上,該結構對於末端作用器而言顯得像一晶圓(換言之,距離感測器506係嵌入在晶圓狀結構504中)。以此方式,無須對現有的傳遞臂108進行改裝以執行距離測量。
每次進行測量時,末端作用器裝載晶圓狀結構504並將它導入至腔室中。將該結構裝載至卡盤上是沒有需要的,所以在進行一或更多測量之後,傳遞臂離開腔並卸載該晶圓狀結構。
在一實施例中,晶圓狀結構係儲存在晶圓站中的一暫存區中,且末端作用器從該暫存區裝載該晶圓狀結構。在另一實施例中,晶圓狀結構可儲存於傳遞氣匣中的複數槽口其中一者中。
根據一實施例,圖6繪示了在邊緣環的頂部表面與卡盤之間的垂直距離隨著時間之改變。吾人應注意,當僅測量與邊緣環的距離時,曲線圖看起來會與圖6中的曲線圖相似,但取代測量卡盤與邊緣環之間的相對距離,該曲線圖會顯示距離感測器與邊緣環之間的距離。
最初,在將新的邊緣環放置在腔室中之後,於時間t0進行垂直距離d0的第一測量。之後,定期進行測量以檢查距離d的值。隨著腔室累積操作時間,邊緣環會由於暴露於腔室中的電漿而持續在頂部表面上受侵蝕。因此,距離d會逐漸減少。在一實施例中,邊緣環具有3至4mm的寬度,但其它的值亦為可能的。
當距離d達到一預定臨界值drepl時,則是時候在腔室的操作被在晶圓邊緣的非均勻性影響之前更換邊緣環。由於某些處理會較其他處理更快地侵蝕邊緣環,所以根據腔室中所執行的不同處理,侵蝕率可能是或可能不是線性的。
如先前所述,邊緣環隨著時間而耗損,但卡盤不會,所以在距離d(其係以邊緣環的頂部表面與卡盤的頂部表面之間的垂直距離來測量)上的改變係歸因於邊緣環上的侵蝕。
上面提出的相同原理亦可用以測量可消耗零件上的沉積。然而,處理會是相反的,其差異在於由於邊緣環上的沉積,從邊緣環的頂部至卡盤的頂部之距離會隨著時間而增加。吾人定義一臨界積累,而其可轉換為一臨界距離,且當d變得較該臨界距離更大時,則是時候因邊緣環上的過量沉積而更換或清潔該邊緣環。
根據一實施例,圖7為一方法之流程圖,該方法係用以判定半導體處理設備中的可消耗零件之耗損。雖然流程圖中的各樣操作係依序提出及描述,但所屬技術領域具有通常知識者會了解該等操作其中一些或全部可以不同的順序執行、將其組合或省略、或並行地執行。
如上面所述,實施例以接近實時的反饋執行可消耗零件耗損的原位測量。此方法相較於一般所使用的方法(僅基於時間、或藉由在之後的晶圓檢查步驟中觀測製程偏移而預測壽命之終點)更具優點。原位且實時的測量可用以預測可消耗零件的壽命以進行可預測之維護。其可能與反饋演算法一起使用以基於零件耗損而做出製程調整,以改善晶圓至晶圓的重複性、增加零件壽命、及提高生產的系統妥善率。
在操作702中,將基板裝載至半導體製造腔室中的一傳遞臂上,其中該傳遞臂包含一距離感測器。從操作702,該方法進行至操作704,用以在傳遞臂行進於可消耗零件附近時用該距離感測器測量從距離感測器至一可消耗零件之表面的一第一距離。該可消耗零件於腔室之操作期間內受到耗損。
從操作704,該方法進行至操作706,用以於傳遞臂在一基準零件附近行進時用該距離感測器測量從距離感測器至該基準零件之表面的一第二距離。該基準零件於腔室之操作期間內不受耗損。
從操作706,該方法進行至操作708,其中基於第一距與第二距離而判定該可消耗零件之耗損量。在一實施例中,耗損係基於在第二距離與第一距離之間的差而判定,該差為可消耗零件的頂部表面與基準零件的頂部表面之間的垂直距離。隨著時間監測在該等頂部表面之間的此距離以判定何時該可消耗零件耗損且需要更換。在一實施例中,可消耗零件為邊緣環,且基準零件為用以於處理期間固持基板的卡盤。
圖8為用以實行本揭露內容之實施例的電腦系統800之簡化示意圖。吾人應理解本文中描述的方法可以數位處理系統加以執行,例如習知的、通用的電腦系統。可替代地使用被設計或程式化以執行唯一功能之特別用途電腦。該電腦系統包含一中央處理單元(CPU)804,該中央處理單元透過匯流排810連接至隨機存取記憶體(RAM)806、唯讀記憶體(ROM)812、及大量儲存裝置814。系統控制器程式808駐存於RAM 806中,但亦可駐存在大量儲存裝置814中。
大量儲存裝置814代表一持續資料儲存裝置,例如可為本機或遠端的軟碟機或固定式磁碟機。網路介面830經由網路832提供連線,從而與其他裝置溝通。吾人應理解CPU 804可被體現在通用處理器、特別用途處理器、或特別程式化之邏輯裝置中。輸出/輸入(I/O)介面提供與不同的周邊裝置之溝通且透過匯流排810連接至CPU 804、RAM 806、ROM 812、及大量儲存裝置814。範例性周邊裝置包含顯示器818、鍵盤822、游標控制器824、可移除式媒體裝置834、等。
顯示器818係用以顯示本文中描述的使用者介面。鍵盤822、游標控制器824、可移除式媒體裝置834、及其他周邊裝置係連接至I/O介面820以將在命令選擇中的資訊傳遞至CPU 804。吾人應理解,發送到外部裝置及從外部裝置發送的資料可透過I/O介面820而傳遞。本發明亦可在分散式計算環境中實施,其中任務透過有線或無線網路連接之遠端處理裝置加以執行。
本發明可以各樣的電腦系統結構實行,其中包含手持裝置、微處理器系統、基於微處理器或可程式化之消費電子產品、微電腦、大型電腦、及類似裝置。本發明之實施例亦可在分散式計算環境中實施,其中任務透過網路連線之遠端處理裝置加以執行。
在理解上面的實施例後,吾人應理解本發明可使用各樣電腦實行的操作,其中操作涉及儲存在電腦系統中的資料。這些操作為需要物理量之物理操縱的操作。本文中描述之任何構成本發明部分的操作為有用的機械操作。本發明亦關於用以執行這些操作的裝置或設備。可特別為需要的目的建構該設備,例如特殊用途電腦。當被定義為特殊用途電腦時,該電腦在仍可執行特殊用途的同時,亦可執行非特殊用途部分之其他處理、程式執行、或例行程序。或者,操作可藉由一般用途電腦加以處理,其中該一般用途電腦被一或更多儲存在電腦記憶體、快取記憶體、或透過網路得到的電腦程式選擇性地啟動或配置。當透過網路得到資料時,可以網路上的其他電腦處理該資料,例如,雲端的計算資源。
本發明的一或更多實施例亦可被製作為電腦可讀媒體上的電腦可讀碼。該電腦可讀媒體係可儲存資料的任何資料儲存裝置,其中該資料儲存裝置之後可被電腦系統讀取。電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、唯讀記憶體、隨機存取記憶體、CD-ROM、CD-R、CD-RW、磁帶、及其他光學與非光學資料儲存裝置。電腦可讀媒體可包含電腦可讀的有形媒體, 其中該電腦可讀的有形媒體係散佈於連接網路的電腦系統,俾使電腦可讀碼以散佈的方式被儲存及執行。
雖然以特定順序描述方法操作,吾人應理解可在操作之間執行其他庶務操作,或可調整操作使得其在略為不同之時間發生,或可被分散在系統中,其中該系統只要重疊的操作之處理被以想要的方式執行則允許處理操作發生在與處理有關的不同區間。
雖然已對前述的發明進行詳細地描述以利於清楚理解的目的,顯而易見的,仍可在隨附申請專利範圍的範圍內實行某些改變及修改。因此,本實施例應被認為是說明性的而非限制性的,且本發明不受限於本文中所提供的細節,而係可在隨附申請專利範圍的範圍及同等物內修改。
102‧‧‧基板
104‧‧‧卡盤
106‧‧‧邊緣環
108‧‧‧傳遞臂
110‧‧‧距離d
112‧‧‧感測器
114‧‧‧腔室
116‧‧‧上電極
122‧‧‧控制器
124‧‧‧射頻(RF)來源
126‧‧‧泵浦
128‧‧‧氣體來源
136‧‧‧腔室壁蓋
138‧‧‧限制環

Claims (20)

  1. 一種處理基板的腔室,該腔室包含:一基準零件,位於該腔室中,該基準零件於該腔室之操作期間不受耗損;一可消耗零件,位於該腔室中,該可消耗零件於該腔室之操作期間受到耗損;一傳遞臂,用以將該基板傳遞至該腔室中;一感測器,位於該傳遞臂上,其中該感測器係用以在該傳遞臂於該可消耗零件上方沿著一線性行進位置而行進至該腔室中時測量從該感測器至該可消耗零件之表面的一第一距離,其中該感測器係用以在該傳遞臂於該基準零件上方沿著該線性行進位置而行進時測量從該感測器至該基準零件之表面的一第二距離;及一控制器,用以基於該第一距離及該第二距離而判定該可消耗零件的一耗損量。
  2. 如申請專利範圍第1項之處理基板的腔室,其中該控制器計算在該可消耗零件之表面的一平面與該基準零件之表面的一平面之間的一距離差,該距離差等於該第一距離減去該第二距離。
  3. 如申請專利範圍第2項之處理基板的腔室,其中該控制器從首次安裝該可消耗零件時開始隨著時間追蹤該距離差之改變。
  4. 如申請專利範圍第3項之處理基板的腔室,其中當該距離差相較於首次安裝該可消耗零件時所測量到之該距離差改變一預定量時,該控制器判定該可消耗零件應進行更換。
  5. 如申請專利範圍第1項之處理基板的腔室,其中判定該可消耗零件的該耗損量無須打開腔室,其中該感測器為非接觸式距離測量裝置。
  6. 如申請專利範圍第1項之處理基板的腔室,其中該控制器計算在該可消耗零件之表面的一平面與該基準零件之表面的一平面之間的一距離差,該距離差等於該第一距離減去該第二距離,其中該控制器基於從首次安裝該可消耗零件時開始該距離差隨著時間之改變而判定一處理參數改變以補償該可消耗零件之耗損。
  7. 如申請專利範圍第1項之處理基板的腔室,其中該感測器係連接至該傳遞臂的一末端作用器。
  8. 如申請專利範圍第1項之處理基板的腔室,其中該感測器為深度相機、或共焦彩色測量裝置、或低同調性干涉測量裝置、或電容距離感測器、或顏色改變偵測器其中一者。
  9. 如申請專利範圍第1項之處理基板的腔室,該腔室更包含:一真空傳遞腔室或一負載鎖室的一站,用以儲存該感測器,其中該傳遞臂自在該真空傳遞腔室或該負載鎖室中的該站裝載該感測器。
  10. 如申請專利範圍第1項之處理基板的腔室,其中該可消耗零件為一邊緣環,其中該基準零件為一卡盤,該卡盤用以於該腔室之操作期間固持該基板。
  11. 如申請專利範圍第1項之處理基板的腔室,其中該感測器係無線連接至該控制器,其中該感測器包含一電池。
  12. 如申請專利範圍第1項之處理基板的腔室,其中該感測器係可安裝在該傳遞臂的一末端作用器上。
  13. 如申請專利範圍第1項之處理基板的腔室,其中該感測器係安裝在與基板相似的一結構上,使得該傳遞臂係如同該傳遞臂裝載一基板的方式裝載該感測器。
  14. 一種判定可消耗零件之耗損的方法,該方法包含: 將一基板裝載在一半導體製造腔室中的一傳遞臂上,該傳遞臂包含一感測器;於該傳遞臂在該可消耗零件上方沿著一線性行進位置而行進至該半導體製造腔室中時以該感測器測量從該感測器至該可消耗零件之表面的一第一距離,該可消耗零件於該腔室之操作期間受到耗損;於該傳遞臂在一基準零件上方沿著該線性行進位置而行進時以該感測器測量從該感測器至該基準零件之表面的一第二距離,該基準零件於該腔室之操作期間不受耗損;及基於該第一距離及該第二距離而判定該可消耗零件的一耗損量。
  15. 如申請專利範圍第14項之判定可消耗零件之耗損的方法,其中該判定該耗損量之步驟更包含:計算在該可消耗零件之表面的一平面與該基準零件之表面的一平面之間的一距離差,該距離差等於該第一距離減去該第二距離。
  16. 如申請專利範圍第15項之判定可消耗零件之耗損的方法,該方法更包含:從首次安裝可消耗零件時開始追蹤該距離差隨著時間之改變,其中當該距離差相較於首次安裝該可消耗零件時所測量到之該距離差改變一預定量時,該可消耗零件應進行更換。
  17. 如申請專利範圍第14項之判定可消耗零件之耗損的方法,其中判定該可消耗零件的該耗損量無須打開腔室,其中該感測器為非接觸式距離測量裝置。
  18. 如申請專利範圍第14項之判定可消耗零件之耗損的方法,其中該感測器為深度相機、或共焦彩色測量裝置、或低同調性干涉測量裝置、或電容距離感測器、或顏色改變偵測器其中一者。
  19. 一種處理基板的腔室,該腔室包含:一基準零件,位於該腔室中;一可消耗零件,位於該腔室內,該可消耗零件於該腔室之操作期間積累沉積物;一傳遞臂,用以將該基板傳遞至該腔室中;一感測器,位於該傳遞臂上,其中該感測器係用以在該傳遞臂於該可消耗零件上方沿著一線性行進位置而行進至該腔室中時測量從該感測器至該可消耗零件之表面的一第一距離,其中該感測器係用以在該傳遞臂於該基準零件上方沿著該線性行進位置而行進時測量從該感測器至該基準零件之表面的一第二距離;及一控制器,用以基於該第一距離及該第二距離而判定該可消耗零件的一沉積量。
  20. 如申請專利範圍第19項之處理基板的腔室,其中該控制器計算在該可消耗零件之表面的一平面與該基準零件之表面的一平面之間的一距離差,該距離差等於該第一距離減去該第二距離,其中該控制器從首次安裝該可消耗零件時開始追蹤該距離差隨著時間之改變,且其中當該距離差相較於首次安裝該可消耗零件時所測量到之該距離差改變一預定量時該控制器判定該可消耗零件應進行更換。
TW105126314A 2015-08-21 2016-08-18 半導體製造設備中的可消耗零件之耗損偵測 TWI709173B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562208499P 2015-08-21 2015-08-21
US62/208,499 2015-08-21
US14/846,635 US10014198B2 (en) 2015-08-21 2015-09-04 Wear detection of consumable part in semiconductor manufacturing equipment
US14/846,635 2015-09-04

Publications (2)

Publication Number Publication Date
TW201719749A TW201719749A (zh) 2017-06-01
TWI709173B true TWI709173B (zh) 2020-11-01

Family

ID=58157592

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105126314A TWI709173B (zh) 2015-08-21 2016-08-18 半導體製造設備中的可消耗零件之耗損偵測

Country Status (5)

Country Link
US (1) US10014198B2 (zh)
JP (1) JP6598745B2 (zh)
KR (1) KR102546407B1 (zh)
CN (1) CN106468541B (zh)
TW (1) TWI709173B (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10190865B2 (en) * 2016-01-27 2019-01-29 Lam Research Corporation Verifying end effector flatness using electrical continuity
US10521774B2 (en) * 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
CN108927261A (zh) * 2017-05-25 2018-12-04 郑州洁普智能环保技术有限公司 一种反击式破碎机
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
JP7323525B2 (ja) * 2017-12-05 2023-08-08 ラム リサーチ コーポレーション エッジリング摩耗補償のためのシステムおよび方法
CN108375608A (zh) * 2018-03-12 2018-08-07 昆山国显光电有限公司 基板检测装置
JP2019201125A (ja) * 2018-05-17 2019-11-21 三菱電機株式会社 ウエハ研削装置およびウエハ研削方法
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
JP6999241B2 (ja) * 2018-07-25 2022-01-18 株式会社ディスコ プラズマエッチング装置
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
CN109283184A (zh) * 2018-09-03 2019-01-29 浙江大学 一种基于光谱共焦传感器的表面疵病测量方法
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US20200194296A1 (en) * 2018-12-12 2020-06-18 Tokyo Electron Limited System of processing substrate, transfer method, transfer program, and holder
JP7357453B2 (ja) 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR102232666B1 (ko) * 2019-06-27 2021-03-30 세메스 주식회사 기판 처리 장치 및 부품 상태 검출 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
WO2021022291A1 (en) * 2019-07-26 2021-02-04 Lam Research Corporation Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP2023507093A (ja) * 2019-12-19 2023-02-21 ラム リサーチ コーポレーション 消耗チャンバ部品におけるカプセル化rfid
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
JP6989980B2 (ja) * 2020-06-15 2022-01-12 アダプティブ プラズマ テクノロジー コーポレーション 半導体工程のための部品整列装置及びこれによる部品整列方法
KR102349160B1 (ko) * 2020-07-03 2022-01-12 한국전력공사 디스크 커터의 마모도 측정 장치, 디스크 커터의 마모 관리 시스템, 및 그 구동방법
KR102628877B1 (ko) * 2020-09-02 2024-01-25 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 상태 감시 방법
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR102585286B1 (ko) * 2020-10-15 2023-10-05 세메스 주식회사 기판 처리 장치 및 소모성 부품의 마모도 측정 방법
TWI759913B (zh) * 2020-10-16 2022-04-01 天虹科技股份有限公司 原子層沉積薄膜厚度的檢測系統及檢測方法
JP7499142B2 (ja) 2020-10-23 2024-06-13 東京エレクトロン株式会社 処理システム及び処理方法
TWI759960B (zh) * 2020-11-12 2022-04-01 南韓商自適應等離子體技術公司 半導體部件的更換監控裝置以及其部件更換監控方法
JP7153362B2 (ja) * 2020-12-08 2022-10-14 アダプティブ プラズマ テクノロジー コーポレーション 半導体部品の交換監視装置及びこれによる部品交換監視方法
KR102591723B1 (ko) * 2020-12-09 2023-10-20 세메스 주식회사 기판 처리 시스템 및 이를 포함하는 오토 티칭 시스템
JP2022174626A (ja) 2021-05-11 2022-11-24 東京エレクトロン株式会社 基板処理システム及び環状部材の高さ推定方法
KR102632552B1 (ko) 2021-07-23 2024-02-02 한국표준과학연구원 플라즈마 진단기능 및 유전체 두께 측정기능을 갖는 센서, 이를 구비하는 공정장치 및 공정시스템
US20230236569A1 (en) * 2022-01-25 2023-07-27 Applied Materials, Inc. Estimation of chamber component conditions using substrate measurements
WO2024047835A1 (ja) * 2022-09-01 2024-03-07 三菱電機株式会社 データ収集分析システム、測定データ収集ユニット、および、データ収集分析方法
DE102022209644B3 (de) * 2022-09-14 2024-02-01 Carl Zeiss Smt Gmbh Verfahren zum Charakterisieren eines Abschirmelements einer Teilchenstrahlvorrichtung, Mittel zum Charakterisieren des Abschirmelements, eine Teilchenstrahlvorrichtung und ein entsprechendes Computerprogramm

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157698A1 (en) * 2005-01-14 2006-07-20 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing system, semiconductor device and method of manufacture
US8730482B2 (en) * 2010-03-29 2014-05-20 Tokyo Electron Limited Method for measuring wear rate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009245988A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd プラズマ処理装置、チャンバ内部品及びチャンバ内部品の寿命検出方法
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
JP6254180B2 (ja) * 2012-11-30 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 振動が制御される基板ハンドリングロボット、システム及び方法
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
JP6231370B2 (ja) * 2013-12-16 2017-11-15 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157698A1 (en) * 2005-01-14 2006-07-20 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing system, semiconductor device and method of manufacture
US8730482B2 (en) * 2010-03-29 2014-05-20 Tokyo Electron Limited Method for measuring wear rate

Also Published As

Publication number Publication date
US20170053819A1 (en) 2017-02-23
KR20170022907A (ko) 2017-03-02
JP6598745B2 (ja) 2019-10-30
US10014198B2 (en) 2018-07-03
CN106468541B (zh) 2019-07-05
TW201719749A (zh) 2017-06-01
KR102546407B1 (ko) 2023-06-21
CN106468541A (zh) 2017-03-01
JP2017050535A (ja) 2017-03-09

Similar Documents

Publication Publication Date Title
TWI709173B (zh) 半導體製造設備中的可消耗零件之耗損偵測
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
TWI744342B (zh) 具有電容微感測器的晶圓處理裝備
TWI723004B (zh) 用於半導體製造腔室中之可消耗零件的剩餘使用壽命的估計
US7047095B2 (en) Process control system and process control method
WO2006070689A1 (ja) 半導体製造装置、当該半導体製造装置における異常の検出、異常の原因の特定或いは異常の予測を行う方法、並びに当該方法を実施するためのコンピュータプログラムを記録した記憶媒体
JPWO2004019396A1 (ja) プラズマ処理方法及びプラズマ処理装置
JP2006196716A (ja) 半導体製造装置および半導体装置の製造方法
TW202217233A (zh) 通過處理腔室壁內的透明晶體和透明基板進行薄膜原位測量
CN116802780B (zh) 自主基板处理系统
US12000041B2 (en) Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
JP5105399B2 (ja) データ収集方法,基板処理装置,基板処理システム
JP2023534596A (ja) 製造プロセス性能を向上させるための統合された基板測定システム
JP4970847B2 (ja) プラズマ処理の異常動作を検知するための方法
JP4869551B2 (ja) プロセス制御システム及びプロセス制御方法
US20210280399A1 (en) Capacitive sensors and capacitive sensing locations for plasma chamber condition monitoring
CN115176328A (zh) 用于等离子体腔室条件监测的电容感测数据集成
JP2007088497A (ja) プロセス制御システム、プロセス制御方法およびプロセス処理装置
JP2007250902A (ja) 基板処理装置の予測方法及び予測装置
KR102600228B1 (ko) 기판 프로세싱 시스템의 ESC로부터 기판의 부분적 언클램핑 (unclamping) 검출
TW202412143A (zh) 原位整合的晶圓參數偵測系統
KR20230055609A (ko) 플라즈마 설비의 실시간 모니터링 방법 및 모니터링 시스템