JP2023507093A - 消耗チャンバ部品におけるカプセル化rfid - Google Patents

消耗チャンバ部品におけるカプセル化rfid Download PDF

Info

Publication number
JP2023507093A
JP2023507093A JP2022535812A JP2022535812A JP2023507093A JP 2023507093 A JP2023507093 A JP 2023507093A JP 2022535812 A JP2022535812 A JP 2022535812A JP 2022535812 A JP2022535812 A JP 2022535812A JP 2023507093 A JP2023507093 A JP 2023507093A
Authority
JP
Japan
Prior art keywords
pocket
consumable part
plug
consumable
radio frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022535812A
Other languages
English (en)
Other versions
JPWO2021126696A5 (ja
Inventor
ペン・ゴードン
ジャン・ユー
チェッリ・デヴィッド
アスキン・スティーブ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023507093A publication Critical patent/JP2023507093A/ja
Publication of JPWO2021126696A5 publication Critical patent/JPWO2021126696A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities

Abstract

【解決手段】消耗部品、および消耗部品を追跡するための方法であって、方法は、処理ツールのプロセスチャンバ内に画定されたプロセス領域とは反対側を向く消耗部品の側面に形成されたポケット内に無線周波数タグを埋め込むことと、プラグを使用してポケットの開口部を覆うこととを含む。プラグは、プラグの側壁とポケットの側壁との間に形成された界面に沿ってレーザ融合される。【選択図】図6

Description

本実施形態は、半導体製造機器における消耗部品を追跡するための方法、システム、およびプログラムに関する。
基板(例えば、ウエハ、フラットパネル)は、集積回路、フラットパネルディスプレイなどの電子製品を形成するために様々なタイプの処理に供される。基板はプロセスチャンバ内に載置され、プラズマエッチング、洗浄、堆積などの異なる処理動作に供され、基板の表面を異なる化学物質に曝露する。例えば、プラズマエッチング動作中、基板の表面の選択的な部分がプラズマに曝露される。選択的な部分は、フォトレジストマスク層を基板表面上に載置し、基板をプラズマエッチングに供してプラズマエッチングがフォトレジストによって覆われていない下にある材料を除去することができるようにすることによって曝露される。同様に、基板は、同じプロセスチャンバ内または異なるプロセスチャンバ内で洗浄を受けることができ、基板は、異なる処理動作から基板の表面上に残っている副生成物を除去するために洗浄化学物質で処理される。
プロセスチャンバ内で実施される1つまたは複数の処理動作は、プロセスチャンバの様々な部品をそれぞれのプロセスチャンバ内で使用される過酷な化学物質に曝露する。生産環境では、例えば、単一のエッチングチャンバを複数のエッチングプロセスに使用することができ、各々が、異なるチャンバ部品の摩耗率に異なる影響を与える可能性のある化学物質を使用する場合がある。摩耗率(すなわち、消費率)に基づいて、これらの部品の各々は、部品を交換する必要がある一定量の有効寿命を有すると定義され、したがって、これらの部品は「消耗」部品と呼ばれる。部品のタイプ、部品の場所、およびプロセスチャンバで使用される過酷な化学物質への曝露量に基づいて、一部のシステム管理者は、異なる数(チャンバ処理時間数、実行されるウエハの数など)、または仕様外のウエハ計測データの観点から、各消耗部品の寿命を定義する。これらの数は、主に消耗部品の仕様に基づいて定義される。結果として、仕様が変化すると、数も変化する。例えば、仕様は、プロセスチャンバ内で使用される特定のタイプの消耗部品(例えば、エッジリング)について変化する可能性があり、そのような変化は、幾何学的形状、厚さ、使用される材料のタイプなどに基づく可能性がある。プロセスチャンバ内の異なる消耗部品は、異なる材料で作製され得、したがって異なる速度で摩耗する場合がある。結果として、最適なプロセス結果を実現するために、各プロセスチャンバで使用される消耗部品のタイプおよび状態を追跡することが望ましい。
消耗部品の現在の追跡は、消耗部品に刻印されている部品番号に依存している。しかし、部品の寿命にわたって、これらの視覚的マーキングは、エッチングおよび/または改修洗浄により損なわれる可能性がある。さらに、これらの刻印は、容易に改ざんされる可能性がある。これらの刻印が消耗部品の下側に提供される場合、これらの消耗部品の追跡は、プロセスチャンバを開き、消耗部品を取り外し、刻印された部品番号を読み取る/スキャンすることによってのみ行うことができる。これは、消耗部品をプロセスチャンバ内で正しく再セットする必要があり、そしてプロセスチャンバを使用前に調整する必要があるため、大きなダウンタイムにつながる。
実施形態は、このような状況で生じるものである。
半導体プロセスシステム内のプロセスチャンバで使用される消耗部品を追跡するための方法、デバイス、システム、およびコンピュータプログラムが提示される。本実施形態は、多くの方法、例えば方法、装置、システム、デバイス、またはコンピュータ可読媒体上のコンピュータプログラムで実施することができることを理解されたい。いくつかの実施形態を、以下に説明する。
半導体プロセスシステムは、電子デバイスを画定するために基板の表面上で異なるプロセス動作を実施するために使用される複数のプロセスチャンバを含むクラスタツールであり得る。プロセスチャンバの1つまたは複数は、プラズマエッチング、洗浄などのプロセス動作を実施するためにプロセス化学物質を使用することができる。プロセスチャンバは、プロセス化学物質を供給し、電力を供給し、プロセス領域内にプロセス化学物質を含み、ウエハ上の処理領域を拡張し、かつ/または副生成物および不純物を除去するように構成される複数の消耗部品を含む。これらの消耗部品はプロセス化学物質に曝露され、(a)使用されている消耗部品がプロセスチャンバと互換性があること、(b)消耗部品上の摩耗が交換を必要とするレベルを下回ること、および(c)消耗部品が最適なレベルで機能していることを確認するために追跡する必要がある。プロセスチャンバ内でプロセス化学物質に曝露される可能性のある部品のいくつかの例には、フォーカスリング、エッジリング、内側ライナ、バックシャドウリング、処理用の基板を受け入れるために使用される静電チャックまたは台座、チャンバ壁、プラズマ封じ込め構成要素(例えば、Cシュラウド、閉じ込めリングなど)などが挙げられ、それらの表面は、プロセス化学物質への曝露のために時間と共に摩耗する可能性があり、交換する必要がある。
消耗部品を追跡する特定の実施態様は、プロセスチャンバで使用される消耗部品内に無線周波数(RF)タグを埋め込むことを含む。RFタグは、プロセス化学物質が存在するプロセス領域とは反対側を向く消耗部品の側面に画定されたポケット内に埋め込まれる。プラグが、ポケットの開口部の気密シールを提供するために使用される。気密シールは、ポケットの開口部に向かって進むプロセス化学物質、副生成物、またはラジカルからRFタグを絶縁するように作用する。ポケットの深さは、消耗部品の寿命の間、消耗部品の側面がプロセス化学物質に曝露されるために摩耗を経験する側面に十分な量の消耗部品が残るように画定される。
RFタグは、消耗部品の識別情報を含む。RFタグは、いくつかの実施態様では、カプセル化されたパッシブRFタグであり、RFリーダによってアクティブ化されると、含まれているラベリング情報を使用して消耗部品の検証を可能にする。ポケットの開口部をシールするプラグは、内部に埋め込まれたRFタグに対して十分な絶縁を提供する。RFタグは、処理領域に面する側面からアクティブ化され、識別情報は、RFリーダを使用して読み取られ、摩耗により追跡能力を失う恐れなく消耗部品を容易に追跡することができる。RFタグは改ざんされにくく、したがって、消耗部品を追跡するための信頼できる方法を提供する。いくつかの実施態様では、プラズマエッチングに使用されるプロセスチャンバ内に画定される消耗部品に埋め込まれたRFタグは、プロセスチャンバ内でプラズマを生成するために使用される動作周波数よりも高い周波数で動作することができる。これにより、プロセスチャンバが動作しているときではなく、必要なときにのみRFタグをアクティブ化することが可能である。チャンバの動作周波数をRFタグが動作する周波数とは異なる状態に保つことにより、RFタグの周波数がウエハの上部で実施されるプラズマプロセスに悪影響を及ぼさないことが保証される。さらに、動作周波数とは異なる周波数でRFタグを動作させることにより、RFタグが動作周波数によって損傷を受けないことが保証される。
RFタグに含まれる識別情報は、プロセスチャンバで使用されている消耗部品の検出、プロセスチャンバ内の部品の不一致の識別、プロセスチャンバ内、および改修、洗浄などのためにプロセスチャンバから取り出されてプロセスチャンバに再導入されたときの両方おける部品の寿命の間の消耗部品の追跡などの監査目的のために使用することができる。RFタグ情報はまた、消耗部品をプロセスチャンバ内で使用される他の部品に結び付けるために使用することができる。RFタグ情報は、監査を実施するためのソフトウェアシステムを含み得るコンピュータに供給され得る。RFタグは、シャワーヘッド、内側ライナ、静電チャック、閉じ込めリング、エッジリング、フォーカスリング、シャドウリングなどのプロセスチャンバの異なる消耗部品にカプセル化され得、これらの消耗部品は、インターロックシステムの一部であり得る。そのような場合、各消耗部品は、インターロックシステムのコンピュータと能動的に通信し、特定のプロセスチャンバで使用される消耗部品が本物であり、特定のプロセスチャンバの仕様に従っていることを保証することができる。監査は、チャンバ部品の不一致を回避し、プロセスの問題をトラブルシューティングするのに役立つ。RFリーダが、RFタグを読み取るために使用される。
様々な特徴の概括的な理解をもって、ここで具体的な実施態様を説明する。
一実施態様によれば、ウエハを処理するために使用されるプロセスチャンバで使用するための消耗部品が開示される。消耗部品は、プロセス領域とは反対側を向く側面に画定されたポケットを含む。ポケットは、第1の深さまで延び、開口部を有する。無線周波数タグが、ポケット内に埋め込まれ、アクティブ化されると高周波信号を生成するように構成される。第2の深さまで延びるプラグが、開口部を覆う。プラグは、レーザ融合されてポケット内の無線周波数タグをシールする。レーザ融合は、プラグの側壁とポケットの側壁との間に画定された界面に沿って実施される。
別の実施態様では、プロセスチャンバで使用される追跡可能な消耗部品を製造するための方法が開示される。方法は、プロセスチャンバ内に画定されたプロセス領域とは反対側を向く消耗部品の側面に第1の深さのポケットを形成することを含む。無線周波数(RF)タグが、ポケット内に埋め込まれる。ポケットの開口部は、第2の深さのプラグを使用して、プラグの上面がポケットに隣接する消耗部品の表面と同一平面上にあるようにシールされる。シールは、レーザ溶接機を使用して、プラグの側壁とポケットの側壁との間に画定された界面に沿ったレーザ融合を介して実施され、それによりプラグおよびポケットの側壁からの材料を使用して界面の気密シールを提供する。プラグの上面およびポケットの開口部に隣接する追跡可能な消耗部品の表面の一部は、追跡可能な消耗部品の残りの表面の表面プロファイルと一致するように研磨される。
さらに別の実施態様では、プロセスチャンバで使用される消耗部品を追跡するための方法が開示される。方法は、プロセスチャンバ内に画定された処理領域とは反対側を向く消耗部品の側面に画定されたポケット内に無線周波数タグを埋め込むことを含み、ポケットの開口部は、レーザ融合によってプラグでシールされる。埋め込まれた無線周波数タグは、プロセスチャンバに挿入されたリーダによってアクティブ化される。消耗部品に関連するデータは、アクティブ化された無線周波数タグを介してリーダによって収集される。リーダは、電源に結合されてリーダに電力を供給し、無線周波数タグをアクティブ化する。リーダはまた、無線周波数タグから収集されたデータを格納するために使用されるメモリに結合される。収集されたデータは、プロセスチャンバで使用される消耗部品の属性を識別するために解釈される。いくつかの実施態様によれば、属性は、消耗部品の識別および/または使用データに関連する情報を含む。
他の態様は、添付の図面と併せて、以下の詳細な説明から明らかになるであろう。
実施形態は、添付の図面と併せて以下の説明を参照することによって最もよく理解され得る。
図1は、一実施態様による、1つまたは複数の消耗部品が埋め込まれた無線周波数(RF)タグを含む、様々なプロセスチャンバ(すなわち、プロセスモジュール)を有する例示的な半導体プロセスクラスタツールアーキテクチャを示す図である。
図2Aは、一実施態様における、消耗部品を追跡するために使用される埋め込まれたRFタグを備えた消耗部品を含むプロセスモジュールの簡略化ブロック図である。
図2Bは、一実施態様による、1つまたは複数の埋め込まれたRFタグを有する消耗部品の拡大図である。
図3Aは、一実施態様による、プロセスチャンバ内で使用される消耗部品に埋め込まれたRFタグを読み取るためにRFリーダが埋め込まれているエンドエフェクタのトラバーサル経路を示す図である。
図3Bは、一実施態様による、図3Aに示されるトラバーサル経路に沿ったプロセスチャンバ内のRFリーダ-RFタグの位置合わせを示す図である。
図4Aは、一実施態様による、エッジリングの下面に画定されたポケット内にRFタグを埋め込むプロセスを示す図である。 図4Bは、一実施態様による、エッジリングの下面に画定されたポケット内にRFタグを埋め込むプロセスを示す図である。 図4Cは、一実施態様による、エッジリングの下面に画定されたポケット内にRFタグを埋め込むプロセスを示す図である。 図4Dは、一実施態様による、エッジリングの下面に画定されたポケット内にRFタグを埋め込むプロセスを示す図である。
図5Aは、一実施態様における、プラグの側壁とポケットの側壁との間に画定されたプラグ-ポケット界面を示す図である。
図5Bは、一実施態様における、気密シールを提供するためのポケット-プラグ界面に沿ったポケットへのプラグのレーザ融合を示す図である。
図6は、1つの例示的な実施態様における、RFタグが埋め込まれているエッジリングの一部の断面側面図、およびエッジリングの上面の侵食に基づいてRFタグから発するRF信号の強度で検出された変化を示す図である。
図7Aは、一実施態様による、1つまたは複数のRFリーダを配置してRFタグを読み取ることができるロボットアームのエンドエフェクタを示す図である。
図7Bは、一実施態様による、リーダリングがロボットアームのエンドエフェクタを介してプロセスモジュールに導入されている、1つまたは複数のRFリーダが配置されるリーダリングを示す図である。
図8Aは、一実施態様による、半導体プロセス装置で使用するための埋め込まれたRFタグを備えた追跡可能な消耗部品を製造するための方法のフローチャートである。
図8Bは、一実施態様による、半導体処理装置で使用される埋め込まれたRFタグを備えた消耗部品を追跡するための方法のフローチャートである。
図9は、本開示の実施形態を実施するためのコンピュータシステムの簡略化概略図である。
実施形態は、消耗部品内に無線周波数(RF)タグを埋め込み、RFタグから識別情報を読み取り、識別情報を使用して消耗部品を追跡するための方法、システム、デバイスを提示する。追跡を使用して監査を実施し、本物の部品がプロセスモジュールで使用されていることを確認し、プロセスの問題をトラブルシューティングし、消耗部品を交換する必要があるまでの残りの寿命を決定することができる。消耗部品の追跡は、プロセスモジュールのダウンタイムなしにin-situで行うことができる。RFタグは改ざん防止であり、それによって消耗部品の識別情報および他の属性が危険に曝されないことを確実にする。RFタグの位置決めにより、RFタグに含まれる情報にアクセス可能であり、消耗部品の識別情報および他の属性が消耗部品の寿命の間に読み取ることができることを確実にする。
RFタグは、エッジリング、グラウンドリング、シャドウリング、内側ライナ、シャワーヘッドなどの非金属チャンバ部品(すなわち、消耗部品)内に埋め込むことができるカプセル化パッシブ無線周波数識別(RFID)タグであり得る。エンドエフェクタ上に配置されるか、リーダリングに埋め込まれるか、または別々のプローブアーム上にあるRFリーダを使用してRFタグをアクティブ化し、識別情報を読み取ることができる。あるいは、RFリーダは、RFタグをアクティブ化して識別情報を読み取るために、RFタグを備えた消耗部品が通過するかまたは受け入れられる処理ツールの領域(例えば、プロセスチャンバ、ロードロックチャンバなどの中)に配置され得る。RFIDインプラントは、従来の刻印に比べていくつかの利点を提供する。いくつかの利点には、迅速かつ正確なプロセスチャンバハードウェア構成の検証、様々な消耗部品を認証する際の不確実性の排除、残りの寿命の正確な測定を提供して識別情報が必要な消耗部品在庫の効率的かつ効果的な管理を可能にするなどが挙げられる。したがって、RFIDインプラントは、処理ツール内の消耗部品の使用および消耗部品に残された寿命の正確な測定を提供することによって予知保全を実施しながら、処理ツールの動作効率を改善する。他の利点は、本明細書に記載の様々な実施形態を検討した後、当業者には明らかになるであろう。
いくつかの実施態様では、RFタグは超高周波タグであり得、プロセスチャンバの動作周波数よりも大きくなるように設計されている。RFタグを読み取るための超高周波は、RFタグがプラズマ生成を妨害したり、プロセスチャンバ内の動作条件に悪影響を及ぼしたりしないことを保証する。
RFタグから収集された情報は、プロセスチャンバが本物の部品を使用していることを確認するための監査目的に使用することができる。情報はまた、チャンバ部品の一致に使用することができる。プロセスチャンバの仕様およびRFタグの識別情報に基づいて、各消耗部品は、特定のプロセスチャンバに一致される。RFタグ情報を使用して、消耗部品が修理および/または改修された回数を追跡し、部品の使用を最適化(すなわち、寿命の最適化)することによって実行コストを削減することもできる。例えば、消耗部品の寿命が約1500時間であり、洗浄間の平均時間が500時間である場合、消耗部品は3プロセスサイクルの間使用することができ、その後、部品に残存価値を残さずに部品を廃棄することができる。しかし、消耗部品の寿命が約1200時間であり、洗浄間の平均時間(MTBC)が約500時間である場合、部品は2プロセスサイクルのみ使用することができ、その後、残りの200時間が残ってしまう。部品の使用を最適化するために、消耗部品は、各洗浄または改修後に消耗部品に残された寿命を決定するために、複数のプロセスチャンバを含むプロセスツール内で追跡され得る。消耗部品に残っている残り時間がプロセスチャンバのMTBCよりも少ない場合、消耗部品は、消耗部品の使用を最適化するために、消耗部品に対してより短いMTBC(すなわち、残っている残り時間にあるかまたはその近く)を有する別のプロセスチャンバに移動され得る。加えて、消耗部品の追跡は、在庫管理に使用することができる。
本発明の実施形態の概括的な理解をもって、ここで様々な実施態様の例示的な詳細について様々な図面を参照して説明する。
図1は、半導体プロセスツールアーキテクチャの例を示している。半導体プロセスツール100は、示すように、対応するインターフェースを通して真空移送モジュール(VTM)140に結合されるいくつかのプロセスモジュールおよびエアロックを含む。インターフェースは、それぞれのプロセスモジュールへの制御されたアクセスを提供するゲート弁を含むことができる。VTMの周りのプロセスモジュールおよびエアロックなどのモジュールのクラスタ化は、VTM140のロボット142を使用して、真空下でウエハをあるプロセスモジュールから別のプロセスモジュールに移送することを可能にし、したがって「クラスタツールアセンブリ」または単に「クラスタツール」とも呼ばれる。クラスタツール100のエアロック130(ロードロックとも呼ばれる)は、ウエハを大気条件に維持された大気圧移送モジュール(ATM)110から真空に維持されたVTM140に移送するのを支援し、したがって「移送モジュール」とも呼ばれる。クラスタツール100は、4つのプロセスモジュール120a~120dを含むように示され、各プロセスモジュールは、様々な製作動作を実施するように構成されるか、または別個の製作動作を実施するように個々に最適化され得る。例として、処理モジュール120a、120b、120c、120dは、変圧器結合プラズマ(TCP)基板エッチング、層堆積、および/またはスパッタリングを実施するために個々に実装され得る。各プロセスモジュールは、プロセスモジュールとVTM140との間のインターフェースを画定するファセット136を有する。ファセット136は、コントローラによって動作され得るゲート弁を含み得る。ファセット136上に配置された1つまたは複数のセンサを使用して、それぞれのプロセスモジュールに出入りするとき、基板102またはエッジリングなどの消耗部品の通過を検出することができる。
VTM140のロボット142は、基板102および/またはエッジリングなどの消耗部品をあるプロセスモジュールから別のプロセスモジュールに、またはエアロック130とプロセスモジュールとの間で移送するために使用される。ロボット142は、一実施形態では、1つのアームを有する。別の実施形態では、ロボット142は、2つのアームを有する。ロボット142の各アームは、エアロック130とプロセスモジュール(プロセスモジュール120a~120dのいずれか1つ)との間、またはプロセスモジュール120a~120dの間でウエハおよび/または消耗部品を移送するために使用されるエンドエフェクタ144を有する。
ATM110はまた、ウエハまたは消耗部品をロードポート112で受け入れられたカセット/フロントオープニングユニファイドポッド(FOUP)114またはフロントオープニングリングポッド(FORP)(図示せず)からエアロック130に移送するために使用され得るロボット(すなわち、フロントエンドロボット)116を備えることができる。フロントエンドロボット116は、ATM110内のアライナ118を使用して、ウエハ/消耗部品がエアロック130に移送される前にウエハおよび/または消耗部品を位置合わせすることができる。
コントローラをクラスタツール100に結合し、クラスタツール100内のウエハまたは消耗部品の移動を制御することができる。コントローラは、クラスタツール100に対してローカルであるか、製造フロアのどこかに、または遠隔地に位置し、ネットワークを介してクラスタツール100に接続され得るコンピュータの一部であり得る。
クラスタツール100内のプロセスモジュール120a~120dの1つまたは複数は、無線周波数(RF)タグが埋め込まれている1つまたは複数の消耗部品を含むことができる。RFタグは、RFリーダがタグに近接したとき、RFリーダによってエネルギーを与えられるパッシブタグである。RFタグは、消耗部品を追跡するために使用することができる識別情報を含む。識別情報を使用して、監査を実施し、チャンバの一致を決定し、修理または改修の統計を識別して消耗部品の廃棄/交換が必要となる時期、消耗部品の在庫管理などを決定することができる。消耗部品に対するRFタグの載置およびRFタグに含まれる識別情報の読み取りの詳細は、図2~図7を参照して詳細に説明される。
本実施形態は、これらの具体的な詳細の一部またはすべてがなくても実践することができることは明らかであろう。他の例では、本実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細には説明されていない。
図2Aは、プラズマエッチングを実施するように構成されたプロセスモジュール120aを示している。一実施態様では、プロセスモジュール120aは、容量結合プラズマ処理システムであり得る。容量結合プラズマ処理システムは、プラズマを生成するためのチャンバ214を含む。チャンバ214は、シャワーヘッド210などの上部電極と、台座または静電チャック(ESC)などの下部電極(すなわち、ウエハ支持モジュール204)とを含む。図2Aに示す実施態様では、シャワーヘッド210は接地されているように示されており、ウエハ支持モジュール204はRF電源に結合されている。代替の実施態様では、シャワーヘッド210は、バイアスをかけることができるか、または第2のRF源(図示せず)に結合することができ、電力を供給することができる。シャワーヘッド210は、コントローラ222に結合された1つまたは複数のガス源228に接続される。コントローラ222は、RF源224にRF信号をウエハ支持モジュール204に提供させ、ガス源228に所望のプロセスガスをチャンバ214のプラズマ処理領域220に注入させてプラズマを生成するための信号を生成することができる。所望のプロセスガスは、プロセスチャンバ214で使用され、コントローラ222によって制御されるレシピに基づくことができる。プラズマは、シャワーヘッド210とウエハ支持モジュール204との間に画定されたプラズマ処理領域220で生成される。プラズマを使用して、ウエハの表面をエッチングするか、またはチャンバ214の異なる表面上に形成された堆積物を揮発させることができる。
ウエハ支持モジュール204は、処理されるウエハ202(「基板」とも呼ばれる)を受け入れて支持するための表面を提供する。エッジリング206は、ウエハがウエハ支持モジュール204に受け入れられたとき、ウエハ202に隣接するようにウエハ支持モジュール204上に配置されるように構成される。チャンバ側壁234は、チャンバ214の横方向長さを延長させる。アクセスウィンドウ236が、ウエハをプロセスチャンバに出し入れするためにチャンバ214のチャンバ側壁に沿って画定される。一実施態様では、内側ライナ(図示せず)がチャンバ側壁234の内面に画定され、チャンバの側壁を保護することができる。そのような実施態様では、ウィンドウが内側ライナ上に画定され、チャンバ側壁234上に画定されたアクセスウィンドウ236の対応する開口部と位置合わせすることができる。アクセスウィンドウ236を使用して、動作中に1つまたは複数のRFリーダ243またはロボットアームのエンドエフェクタを有するプローブ240を備えた移送アーム208をチャンバに導入することができる。いくつかの実施態様では、チャンバの内部状態を監視するために使用されるビューポートを使用して、移送アーム208またはRFリーダ243を備えたエンドエフェクタをチャンバに導入することができる。ビューポートは、チャンバ側壁234上に画定されたアクセスウィンドウ236の上または下に画定され得る。移送アーム208が使用される実施態様では、移送アーム208は、チャンバ214内のプローブ240の移動を制御するために使用されるリーダ制御部232に結合される。次に、リーダ制御部232は、コントローラ222に結合され、コントローラ222は、プロセスモジュール内のRFリーダ243の移動を制御するための適切な信号を提供する。ロボットアームのエンドエフェクタが使用される実施態様では、ロボットは、エンドエフェクタでロボットアームの移動を制御するためにコントローラ222に結合される。
一実施形態では、RFリーダ243は、チャンバ214内に位置する1つまたは複数の消耗部品に含まれる任意のRFタグをアクティブ化し、タグ情報を読み取るように構成される。RFリーダ243は、電源と、メモリとを含むことができる。電源は、電力をRFリーダおよび1つまたは複数のRFタグに提供するように構成され、メモリは、RFタグから収集された消耗部品に関連するデータを格納するために使用される。RFリーダ243によって収集されたデータは、コントローラ222に転送される。コントローラ222は、RFタグからのデータを使用して、1つまたは複数の消耗部品の属性を識別/定義することができる。
チャンバ214内の部品のいくつかは、エッチング、洗浄、または過酷な化学物質を使用する他の動作がチャンバ内で実施されるときに影響を受ける可能性がある。あるいは、不一致の部品がチャンバ内で使用される場合、または部品がそれらの寿命をはるかに超えてチャンバ内に残される場合、チャンバ内で実施されるプロセスのいくつかが影響を受ける可能性がある。部品/プロセスへの影響を最小限に抑えるために、本物の部品をチャンバ内で使用する必要があり、これらの部品は、チャンバ内のプロセス動作の完全性を維持することができるように、特定のプロセスサイクル数(すなわち、動作時間数)の後に交換または修理または改修されなければならない。それぞれの部品のRFタグから得られた情報から各消耗部品について識別された属性を使用して、監査を実施し、チャンバの一致特徴を識別し、特徴を使用してチャンバと部品の不一致を決定し、部品在庫を最適化し、部品の修理/改修属性を決定し、残りの寿命を決定し、消耗部品を使用することができる様々なプロセスモジュールを識別することなどができる。したがって、消耗部品の属性を使用して、消耗部品の使用を最適化するのを支援し、消耗部品をいつ廃棄するか、交換するか、取り外すか、または移送するかを決定することができる。
追跡するのが有益であり得る消耗部品のいくつかには、エッジリング206、シャドウリング、フォーカスリング、バックシャドウリング、閉じ込めリング(図示せず)、Cシュラウドなどのプラズマ閉じ込め構造、チャンバ壁カバー、シャワーヘッド210が挙げられ得る。前述の消耗部品のリストは網羅的ではなく、チャンバ120aまたは他のチャンバ(例えば、120b~120d)に含まれる追加の部品も消耗可能であり得、追跡および効果的に管理する必要がある場合がある。本明細書に提示される実施形態は、エッジリング206を追跡することを参照して説明されるが、提示される原理は、チャンバ120a~120d内に含まれる任意の他の消耗部品を追跡するために利用されてもよい。
電力をウエハ支持モジュール204に提供するRF源224は、複数のRF電源、またはRF信号の複数の周波数を発生することが可能な単一のRF電源を含むことができる。一例では、RFプラズマを生成するためのRF信号の周波数は、約2MHz~約60MHzであってもよい。別の例では、RFプラズマを生成するためのRF信号の周波数は、約100kHz~約100MHzであってもよい。一例では、RF信号は、約50w~約10KwのRF電力を有することができる。別の例では、RF信号は、約100w~約1500wのRF電力を有することができる。RF電源124は、パルスまたは非パルスのRF信号を発生し得る。
ポンプ226がチャンバ214に結合され、製作動作中に放出されたプロセスガスおよび/または副生成物をチャンバ214からポンプ排出する。ポンプ226は、ポンプ226の機能を制御するためにコントローラに結合される。
コントローラ222は、命令を受信し、信号/命令を発行し、エッチング、洗浄などの動作を制御し、エンドポイント測定を可能にし、チャンバ214の様々な構成要素と通信し、プロセスモジュール120aのチャンバ214内で実行される異なる動作の様々な側面を監視および全体的に制御するためのプロセッサ、メモリ、集積回路、ソフトウェア論理、ハードウェア論理、入力および出力サブシステムを含む。コントローラは、クラスタツール100などの基板処理システムの一部であり得、クラスタツール100のそれぞれのモジュール内で実行されるプロセス動作の様々な側面と個々に通信し、それらを監視および制御するためにクラスタツール100内の各モジュールに結合され得る。コントローラ222は、クラスタツール100の異なるプロセスモジュール内で実施される異なるプロセスのための様々な動作パラメータ(例えば、電圧、電流、周波数、圧力、流量、電力、温度など)に対する複数の設定点を含む1つまたは複数のレシピを含む。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、プロセスモジュールに対するウエハの搬入と搬出、ならびに特定のシステムモジュールに接続または連動する他の移送モジュールおよび/またはロードロックに対するウエハの搬入と搬出を含む、様々なプロセス動作を制御するようにプログラムされ得る。
異なるプロセスの動作パラメータを制御することに加えて、コントローラ222は、一実施形態では、クラスタツール100内の1つまたは複数のプロセスモジュールで使用される様々な消耗部品を追跡するように構成される。コントローラは、例えば、移送アームの端部に取り付けられた1つまたは複数のRFリーダ243が様々な消耗部品に埋め込まれたRFタグにアクセスし、アクティブ化し、読み取ることを可能にするように、適切な信号をリーダ制御部232に提供することによって移送アームの位置および動作を制御するように構成される。様々なタグから収集されたデータは、消耗部品の識別情報を提供し、これは、消耗部品の属性を決定するためにコントローラに送信される。消耗部品の属性を使用して、クラスタツール100内の消耗部品の使用を最適化し、クラスタツール100の異なるモジュール内で実施されるプロセスを最適化することができる。
コントローラ222の集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに実行するための動作パラメータを定義し得る。動作パラメータは、いくつかの実施態様では、1つまたは複数の回路の製作中のウエハの表面、および/またはウエハ上のダイに対して、層の形成、層の除去、金属、酸化物、ケイ素、二酸化ケイ素などの材料の堆積などを含む、1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施形態では、システムと統合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であり、またはそのようなコンピュータに結合されてもよい。例えば、コントローラは、「クラウド」内にあり、ファブホストコンピュータシステムのすべてもしくは一部であり得る。これにより、ウエハ上の回路を定義するためのクラスタツール(すなわち、基板処理システム)の処理動作のリモートアクセスおよび制御が可能となる。コンピュータは、システムへのリモートアクセスを可能にして、現在の製作動作の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の製作動作に続く処理ステップを設定するか、または新しいプロセスを開始することができる。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含み得る。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含み得、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、データの形式で命令を受信し、データは、1つまたは複数のプロセス動作中に実施される処理ステップの各々のパラメータを指定する。パラメータは、実施されるプロセスのタイプ、およびコントローラがインターフェースまたは制御するように構成されるクラスタツールまたはプロセスモジュールのタイプに固有であり得ることを理解されたい。コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられる。
基板処理システム内で実施されるプロセス動作のタイプに応じて、例示的なシステムは、限定はしないが、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体デバイスの製作および/または製造に関連するか使用され得る任意の他の半導体処理システムを含んでもよい。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、製作施設全体に位置するツール、工場内のツール、メインコンピュータ、別のコントローラ、または半導体製造施設内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信し得る。
図2Bは、追跡用の埋め込まれたRFタグを有する、チャンバ214で使用されるエッジリング206などの消耗部品の拡大図を示している。エッジリング206は、内側半径に沿って画定されたステップダウンおよび外側半径に沿った細長い側壁を備えた上面および底面に別個のリングプロファイルを有し得、それにより内側半径に沿ったエッジリングの高さは、外側半径に沿ったエッジリングの高さよりも小さい。エッジリングの代替の実施態様が図4A~図4Dに示され、エッジリングは、内側半径に沿ったステップダウンを備えた上面に沿った別個のリングプロファイルと、底面に沿った平坦な表面プロファイルとを有する。この代替の実施態様では、内側半径に沿ったエッジリングの高さは、外側半径に沿った高さよりも小さい。他の実施態様では、エッジリングは、上面と底面の両方に沿って平坦な表面プロファイルを有することができる。エッジリングは、チャンバ214のプロセス領域220とは反対側を向く表面上に画定されたポケット内に埋め込まれたRFタグを含む。例えば、RFタグは、真空に維持される表面(すなわち、図2Bの場所1)、またはプロセス領域220とは反対側を向くエッジリングの下面(すなわち、図2Bの場所2)に埋め込まれ得る。
プロセスチャンバの代替の実施態様もまた、視覚化することができる。例えば、チャンバ214は、チャンバ214のプラズマ処理領域221内に生成されたプラズマを閉じ込めるためのプラズマ閉じ込め構造を含んでもよい。閉じ込め構造は、例えば、閉じ込めリングのセットまたはCシュラウドの形態であり得る。
図2Aに戻って参照すると、取り付けられたまたは埋め込まれたリーダ243を備えたプローブ242は、移送アーム208を介してチャンバ214に導入され得る。プローブ242は、チャンバ214の側面に設けられたアクセスウィンドウ236を介して導入することができる。アクセスウィンドウ236は、一実施形態では、ウエハをチャンバ214に出し入れするために使用されるのと同じ開口部であり得る。あるいは、アクセスウィンドウとは別のビューポートを使用して、チャンバ214へのプローブに対する別々のアクセスを提供することができる。プローブ242の導入中、下部電極(すなわち、台座またはESC204)を下に移動させ、リーダを備えたプローブが水平軸に沿ってチャンバ214内に移動し、消耗部品内に配置されたパッシブRFタグに電力を供給し、RFタグから識別情報を読み取ることを可能にするようにすることができる。
移送アーム208は、プローブ242がチャンバ214の異なるセクションに達することを可能にし、RFリーダ243がチャンバ214内の異なる消耗部品に埋め込まれたRFタグ304を検出および読み取ることを可能にするために、チャンバ214内で異なる方向に移動するように構成される。いくつかの実施態様では、移送アーム208は、プローブ242を備えた移送アーム208の一部を垂直軸に沿って回転させるか、水平軸に沿って延ばすか、または半径方向に回転させることができるように構成された1つまたは複数のジョイント208aを含み得る。移送アーム208上に設けられる1つまたは複数のジョイント208aは、RFリーダ243が異なる消耗部品に含まれる異なるRFタグ304を探してアクティブ化することを可能にするために、チャンバ214内の十分な移動自由度をプローブ242に提供する。移送アーム208は、リーダ制御部232に結合され、プローブセンサの移動を制御する。次に、リーダ制御部232は、コントローラ222に結合され、コントローラ222は、移送アームをチャンバ214の内外に移動させるため、ならびにプローブ242をチャンバ214の処理領域220内に移動させるための適切な信号を提供する。
図3Aおよび図3Bは、トラバーサル経路、およびRFリーダが移送アームまたはエンドエフェクタ上でプロセスモジュール120aに導入されたときの、エッジリング206などの消耗部品に埋め込まれたRFタグとのRFリーダの位置合わせを示している。エンドエフェクタ144が使用される実施態様では、1つまたは複数のRFリーダ243が、エンドエフェクタ144の本体に沿って上面および/または下面に埋め込まれている。あるいは、1つまたは複数のRFリーダ243は、エンドエフェクタ144の指(指の本体または指の先端のいずれか)、またはエンドエフェクタ144の任意の他の場所に配置され得る。代替の実施態様では、1つまたは複数のRFリーダ243は、リーダリング242の表面に配置することができる。リーダリング242は、設計がエッジリングと同様であってもよく、RFリーダ243は、リーダリング242の上面および/または下面上のリーダリング242の円周に沿って配置され、プロセスチャンバに導入され得る。さらに別の実施態様では、RFリーダは、ウエハ状ディスクの上面および/または下面に配置され、エンドエフェクタ144上のプロセスチャンバに導入されてもよい。RFリーダはまた、プローブ内に埋め込まれ、移送アームを介してプロセスチャンバに導入され得る。
図3Aは、エンドエフェクタ144の指に埋め込まれたRFリーダ243を備えたエンドエフェクタ144の一実施形態を示している。エンドエフェクタ144は、水平軸に沿って画定されたトラバーサル経路247に沿って移動するように制御され、それによりRFリーダ243は、エンドエフェクタがアクセスウィンドウ236を通してチャンバ120aに導入されると、トラバーサル経路247に平行なリーダ経路249に沿ってトラバースする。リーダ経路249は、エンドエフェクタの指に埋め込まれたRFリーダを、エッジリングに埋め込まれたRFタグと位置合わせする。いくつかの実施態様では、消耗部品は、1つまたは複数の位置合わせピン351を使用してプロセスチャンバ内(例えば、台座またはESC上)に所定の位置に保持され得、それによりRFタグの場所およびRFリーダ243の位置合わせを確実に画定することができる。
図3Bは、エンドエフェクタがトラバーサル経路247に沿って移動し、RFリーダがリーダ経路249に沿って移動するときの、図3Aに示す垂直軸B-Bに沿ったプロセスチャンバ内のRFリーダ-RFタグの位置合わせの図を示している。RFタグが埋め込まれているエッジリング206の一部のみが位置合わせを強調するためにプロセスモジュール120aの一部内に示されているが、実際には、プロセスモジュールの残りの構成要素も存在する。
RFリーダ243がリーダ経路249に沿ってプロセスモジュール120aに導入されると、消耗部品206内に埋め込まれたRFタグ304の上に位置合わせされたRFリーダ243(エンドエフェクタ144に直接埋め込まれるか、またはエンドエフェクタ144上で支持されるリーダリングに埋め込まれる)は、RFタグ304をアクティブ化し、タグ識別を読み取り、ローカルメモリにタグ識別を格納するように構成される。次に、タグ識別情報がコントローラ222に転送される。コントローラ222は、RFタグ識別情報を使用して、消耗部品がプロセスツール内のあるプロセスチャンバから別のプロセスチャンバに移動されるとき、および消耗部品がプロセスツールから取り外され、後でプロセスツールに再導入されるときにプロセスチャンバ内の消耗部品を追跡する。移送アームまたはエンドエフェクタに対してRFリーダ243を設けることの詳細は、図7A~図7Bを参照して説明される。
RFタグ304が動作する周波数は、チャンバ214内でプラズマを生成するために使用される動作周波数よりも大きい。これは、RFタグ304がチャンバ214の動作中に時期尚早にアクティブ化され、プラズマエッチングプロセスを妨げることがないことを確実にする。いくつかの実施態様では、チャンバ214内でプラズマを生成するための動作周波数は、約100キロヘルツ(kHz)~約100メガヘルツ(MHz)であってもよい。いくつかの特定の実施態様では、プラズマを生成するための動作周波数は、約400kHz~約60MHzであってもよい。RFタグ304は、超高周波で動作するように構成され得、超高周波は、チャンバ214の動作周波数よりも大きくなるように定義され得る。一実施態様では、超高周波は、約150MHz~約1ギガヘルツ(GHz)であると定義される。
本明細書で説明される本出願の様々な実施態様は、内側ライナ、グラウンドリング、シャドウリング、シャワーヘッド、ESCなどの他の非金属チャンバ部品を含むように拡張することができる。これらの消耗部品は、一般に、石英、ケイ素、カーバイド、炭化ケイ素、酸化物セラミック、および/またはチャンバ内で実施されるプロセスに貢献する同様の化学的、物理的、および熱的性質を有する他の材料から作製される。
図4A~図4Dは、一実施態様における、RFタグ304がエッジリングなどの消耗部品の下面に画定されたポケット302にどのように統合されるかの例を示している。図4A~図4Dに示す図は、エッジリングの上面および下面206a、206bに対するポケットの場所およびRFタグの載置を例示する、エッジリングの一部の逆さまの断面図である。まず、ポケット302が下面206b(チャンバのプラズマ処理領域とは反対側を向くエッジリング206の側面)に形成される。エッジリング206は、内側半径に沿った高さ「d1」が消耗部品の外側半径に沿った高さ「d2」よりも小さいリングプロファイルを有する。ポケット302はまた、真空(例えば、図2Bに示す側面)またはRFプラズマから遮蔽された影のスペースに維持される消耗部品の内径に沿って形成され得る。消耗部品が内側ライナである場合、ポケットは、処理領域とは反対側を向く内側ライナの側壁の外面に画定され得る。
図4Bは、RFタグ304が載置されるポケット302の図を示している。ポケットの深さ「d3」は、RFタグを埋め込み、部品の寿命中の消耗部品の摩耗よりも多い量の消耗部品を上面に残すのに十分なスペースが存在するように画定される。例えば、エッジリングの場合、ポケット「d3」の深さは、ポケットの底部から消耗部品206の上面206aまでの消耗部品の深さ(すなわち、差d2-d3)が、消耗部品の寿命の間に消耗部品が経験する摩耗の量よりも大きくなるように画定される。これは、RFタグ304がチャンバの使用中に損傷を受けないことを確実にするためである。
RFタグ304は、接着剤313を使用してポケット302の底面に接着され得る。RFタグ304をポケット302の底部に固着するために使用される接着剤313は、プロセスチャンバ内のプロセス条件に耐えるように選択することができる。
エッジリング206の上面206aは、内側半径に沿ったステップダウンを含むリングプロファイルを有する。消耗部品206のリングプロファイルは、図2Aおよび図2Bに示されるリングプロファイルとは異なることに留意されたい。ポケット302は、より大きい高さを有する部分(すなわち、高さd2にある部分)に画定される。
図4Cは、ポケット302の開口部を覆い、開口部をシールするために載置されているプラ??グ306を示している。プラグは、ポケット302に受け入れられたRFタグ304の上に載置され、ポケット302の気密シールを提供するようにプラグおよびポケットからの材料を使用してレーザ溶接機でレーザ融合される。プラグ306は、消耗部品206と同じ材料で作製されてもよく、または消耗部品206の残りの部分と同様の化学的、熱的、および物理的性質を示す異なる材料で作製されてもよい。プラグ306の高さは、ポケット内のRFタグ304の上に十分なスペースが残されることを確実にするように画定される。例えば、プラグ306の高さ「d4」は、ポケットd3の高さとRFタグ304の高さ「d5」との間の差よりも小さいように画定され(すなわち、d4<(d3-d5))、それによりRFタグ304の上面とプラグ306の底面との間に十分なスペースが存在する。開口部は、RFタグが受け入れられるポケットが真空に維持されることを確実にするために実質的にシールされ、それによりRFタグ304はRFラジカルからの危険に曝されない。
一実施態様では、プラグ306は、パルスレーザ溶接機307を使用するレーザ融合によってシールされる。開口部のシールの詳細は、図5A~図5Bを参照してより詳細に説明される。溶接はパルスレーザ溶接である必要はなく、任意の他のタイプの溶接であってもよい。別の実施態様では、ポケット302の開口部は、プラグ306を使用する圧入を介してシールされる。シールは、空気漏れまたはRF漏れのいずれかの漏れがないことを確実にするために行われる。圧入が行われてもRF漏れを有している可能性が存在し、RFラジカルが、RFタグが埋め込まれているポケットに侵入する恐れがある。例えば、ポケットが縁または角を有する場合、圧入は、開口部を完全にシールしない可能性がある。結果として、RFラジカルはポケットに侵入する可能性があり、アーク放電の問題を引き起こす場合がある。そのような漏れを防ぐために、圧入は、プラグを所定の位置にさらにシールするための接着剤結合で増強され得る。開口部をシールするために使用される接着剤結合は、少なくとも消耗部品の寿命の間、RFプラズマの過酷な影響にかなり耐えるように選択される。例えば、エッジリング(すなわち、消耗部品)が2000時間の寿命を有する場合、少なくとも2200時間のRFプラズマに耐える能力を有するように接着剤が選択され得る。時間数は、選択される接着剤が、少なくとも消耗部品の寿命の間、RFプラズマの影響に耐えるのに十分に強くなければならないという点を説明するための例として示されている。一実施態様では、プラグを開口部にシールするために使用される接着剤、およびRFタグをポケットの底部に接着するために使用される接着剤は、エポキシベースである。一実施態様では、任意の他の接着剤を使用して、開口部をシールし、かつ/またはRFタグをポケットに接着することができ、接着剤は、RFプラズマの影響に耐えることができるように選択される。代替の実施態様では、ポケットの開口部は、気密シールを提供するためにねじ山付きプラグを使用してシールされる。別の実施態様では、ポケットの開口部は、界面の長さに沿って接着剤結合を使用することによってシールされ、結合に使用される接着剤は、エポキシベースであり得る。開口部をシールするため、および/またはポケット内にRFタグを固着するための接着剤は、エポキシベースの接着剤に限定されず、エポキシベースの接着剤と同様の機能を提供することが可能な任意の他のタイプの接着剤を使用することができる。
RFタグ304を載置するためのポケット302は、下側だけでなく、真空中の消耗部品の内径上、またはRFプラズマの直接的および間接的影響の両方から保護されている影のスペース(図2Aおよび2Bに示される)内にも形成され得る。消耗部品が冷却構成要素を含む静電チャックであるいくつかの実施態様では、ポケットは、ESCの奥深くで冷却構成要素に近接して画定され得、したがって溶接場所はRFタグおよびESCの上面から遠くなり、それによってRFタグの完全性を保つ。RFタグは、RFタグがチャンバ内の温度に耐えることができるように選択される。
図4Dは、プラグ306が開口部をシールするように載置され、ポケットの周りの表面が研磨された後の消耗部品206の下面206bの図を示している。プラグ306を載置し、開口部をシールした後、開口部の上面および開口部に隣接する消耗部品206の上面の部分は、消耗部品の残りの表面の表面プロファイルと一致するように研磨される。研磨の結果は、完全に統合されたRFタグを備えた消耗部品である。RFタグは、消耗部品を容易に追跡することを可能にし、少なくとも消耗部品の寿命の間、改ざん防止および損傷防止である。
図5A~図5Bは、一実施態様における、パルスレーザ溶接機を用いてエッジリングなどの消耗部品の表面に画定されたポケットの開口部にプラグをレーザ融合する例を示している。ポケット302は、エッジリング206の下面206b上の特定の深さで画定される。ポケットは、消耗部品の一部を除去することによって形成される。一実施態様では、ポケットは、消耗部品の一部をドリル加工して除去することによって画定される。いくつかの実施態様では、ポケットをドリル加工するために精密機械加工が用いられ得る。除去される消耗部品の部分は、プラグ306を画定してポケット302で画定された開口部を覆うために使用され得る。この実施態様では、ポケットを画定するために除去されたプラグ306の高さは、RFタグ302の上面とポケットを覆うプラグ306の底面との間に十分なスペースを含むように調整され得る。他の実施態様では、異なる材料を開口部を塞ぐために使用することができ、異なる材料は、消耗部品の屈折率と同じまたは実質的に同じ屈折率を有することができる。別の実施態様では、ポケットは、型または3次元(3D)印刷を使用して形成することができる。この実施態様では、プラグは、消耗部品と同じ材料または実質的に同じ材料を使用して別々に画定することができる。いくつかの実施態様では、実質的に同じ材料を使用することは、消耗部品に使用される材料と同様の化学的、物理的、および熱的性質を有する材料を使用することを含む。ポケット302の深さは、上面に残される材料の量が消耗部品の寿命中に経験される摩耗よりも多く、RFタグを埋め込むのに十分なスペースが存在することを確実にするように画定される。ポケット302は、側壁310および底面312によって画定される。ポケット302の側壁310の長さは、プラグ306の高さよりも大きいが、外径に沿った消耗部品の高さd2よりも小さいように画定される。
RFタグ304は、ポケット302の底部312で受け入れられる。いくつかの実施態様では、RFタグ304は、接着剤を使用してポケット内の所定の位置に接着され得る。ポケット302の開口部は、プラグ306を使用してシールされる。プラグの側壁の長さは、ポケット302に受け入れられたRFタグ304の上面とプラグ306の底面との間に十分な空間が存在するように画定される。開口部に受け入れられたプラグは、プラグ306の側壁の長さに及ぶプラグ-ポケット界面315を画定する。いくつかの実施態様では、消耗部品(例えば、エッジリング)およびポケット302の開口部をシールするために使用されるプラグは、半透明の材料で作製される。プラグは、消耗部品と同じ材料で作製されてもよく、または異なる半透明の材料で作製されてもよい。消耗部品206およびプラグ306に対して半透明の材料を使用することにより、プラグ-ポケット界面315の深さに対する視線が提供される。さらに、消耗部品に使用される材料は、定義された屈折率を有し、プラグ306が消耗部品と同じ材料で作製される場合、プラグ306は、同じ屈折率を有することになる。しかし、この場合、プラグ-ポケット界面は、消耗部品206およびプラグ306とは異なる屈折率を有することになる。代替の実施態様では、プラグ306は、消耗部品の材料とは異なる材料で作製されてもよい。プラグに対する材料は、消耗部品に使用される材料と実質的に同じ屈折率を有するように選択することができる。
レーザ溶接機307は、プラグ-ポケット界面315の屈折率を検出するように調節することができ、それによりレーザ溶接機307によって生成されたレーザは、レーザ融合を実施し、プラグ-ポケット界面315に沿って溶接するように向けることができる。これは、十分な深さを有さないために表面溶接が長くは続かない可能性があるため、単に表面を溶接するよりも有利である。表面溶接は、エッジリング206の下面に到達するRFラジカルによって容易に損傷を受ける場合がある。したがって、シールがエッジリングの寿命を持続することを確実にするために、溶接は、プラグ-ポケット界面315の長さに沿って行われる。調節されたレーザは、レーザが視線を有する限り、プラグ-ポケット界面315の長さを溶接することができる。視線は、図5Bに示すように、プラグ-ポケット界面からある角度にあり得る。プラグおよび消耗部品に使用される材料の半透明の特性、ならびにレーザの調節により、レーザはプラグ-ポケット界面315を検出し、プラグ306の側壁をプラグ-ポケット界面315の長さにわたってポケット302の側壁310に溶接することが可能になる。視線角度は、0°~90°のどこにあってもよい。パルスレーザ溶接を使用する別の利点は、パルスレーザを使用して、レーザからの熱が加えられる溶接場所を特定の領域(すなわち、側壁の一部)に制御し、エッジリング全体を加熱せずに側壁を溶接するのに十分な集束熱を提供することができることである。さらに、ポケットが十分に深いので、RFタグはプラグ-ポケット界面の溶接場所から遠くなっており、溶接中のRFタグへの損傷を防ぐ。パルスレーザ溶接は、使用することができる溶接の一形態であり、他のタイプの溶接を用いてプラグ306をポケット302の側壁に溶接することもできることに留意されたい。
図5Bは、パルスレーザ溶接機307からのレーザを使用して、プラグ-ポケット界面315の長さを溶接317する方法を示している。溶接は、最初にレーザを調節してプラグ-ポケット界面315を検出し、次に調節されたレーザを向けてプラグの側壁をポケット302の側壁310に溶接することによって行われる。プラグの上面は、ポケットの上面と位置合わせされ、レーザは、プラグ-ポケット界面315に沿って移動し、ポケットの側壁とプラグとの間の界面を共にレーザ溶接(または以下、単に「溶接」と呼ぶ)317するように位置決めされる。レーザは、ある角度で向けることも可能である。調節により、レーザは、ポケットの上面をマスキングしながら、プラグ-ポケット界面315の屈折率の差を識別することによってプラグの表面の下の界面を検出することができる。プラグ-ポケット界面315に沿って行われるレーザ溶接317では、ポケット302の上面を溶接する必要はない。しかし、いくつかの実施態様では、ポケットの上面も溶接され得る。プラグ-ポケット界面315の長さに沿った溶接は、開口部がしっかりとシール(すなわち、気密シール)され、十分な絶縁をポケットの内側に提供してポケットへのRFラジカルのRF漏れを防ぐことを確実にする。界面の溶接は、表面溶接よりも長い期間にわたって続く。このタイプのプラグのシールは、RFタグが、チャンバ内で実施されるプロセスからだけでなく、洗浄、改修、取り扱い、または他の後処理動作のためにエッジリングがチャンバから移動されるときにも保護されることを保証する。パルスレーザ溶接のさらなる詳細については、カリフォルニア大学リバーサイド校およびカリフォルニア大学サンディエゴ校によって2019年8月26日にSciTechDailyに公開された「Ultrafast Pulsed Lasers Weld Ceramics Without a Furnace」と題する記事を参照されたい。
図6は、RFタグ304によって生成されたRF信号の強度に対する、エッジリングなどの消耗部品の上面の摩耗の影響を示している。RFリーダ243が、消耗部品内に埋め込まれたRFタグをアクティブ化するためにチャンバに導入される。アクティブ化されたRFタグはRF信号を放出し、次にRF信号はRFリーダ243によって読み取られる。RFタグから放出されるRF信号の強度は、ポケット302の上部にある消耗部品の量に基づいて変化する。消耗部品がチャンバの処理領域内のプラズマへの曝露により摩耗するにつれて、RF信号強度が増加する。RF信号の強度は、識別情報と共にRFリーダによって捕捉され、コントローラ222に送信され得る。コントローラ222は、RFリーダ243によって提供される情報を使用して最初に消耗部品を識別し、消耗部品の属性を決定することができる。さらに、信号強度を使用して消耗部品の断面の深さを決定することができ、次にこれを使用して、発生した摩耗の量、消耗部品の残りの寿命量、摩耗によるおおよその表面プロファイルなどを決定することができる。
摩耗の量は、特に石英、ケイ素などで作製された非金属消耗部品において、消耗部品の表面の不均一な侵食のために変化する可能性がある。そのような場合、摩耗の量は、最初に未使用の消耗部品についてRFタグによって放出されるRF信号の強度を決定し、次に未使用の部品の信号強度を消耗部品の寿命にわたって収集された信号強度と比較することによって、較正によりおおよそ決定することができる。消耗部品全体が耐える摩耗の量は、RFタグ上に画定された厳密に制御された高公差面からの信号強度を使用しておおよそ決定することができる。信号強度が測定される角度が消耗部品の寿命の間一定のままであることを確実にするために、注意を払う必要があり得る。これは、スコープ上にRFリーダを載置し、スコープが特定の高さにあり、特定の角度に位置決めされてRFタグからRF信号を読み取るように、移送アームを介してスコープをチャンバに導入することによって行うことができる。異なる読み取り面上へのRFリーダの載置の詳細は、図7A~図7Bを参照して説明される。消耗品の識別情報および他の属性を使用して、チャンバが本物の部品を使用しているかどうかの決定、消耗部品の不一致に対するチャンバの決定、消耗部品に残っている寿命、消耗部品に対して実施される修理/改修の数、部品使用の最適化の実施、消耗部品の摩耗量、部品在庫の管理などの様々な部品監査を実施することができる。チャンバが本物ではない部品を使用していると決定された場合、その旨を示すために警告が発せられ得る。
図7A~図7Bは、いくつかの例示的な実施態様における、RFタグから情報を読み取るためにRFリーダを配置することができる様々な表面を示している。図7Aは、RFリーダが、プロセスツール/プロセスチャンバで使用されるロボットアーム上に配置され、チャンバの開口部を通してチャンバに導入されるエンドエフェクタ144上に載置される1つの例示的な実施態様を示している。RFリーダ243は、エンドエフェクタの片方の指または両方の指に載置することができる。RFリーダ243は、電力をRFリーダ243に提供してRFタグ304をアクティブ化する電力702、およびRFタグ情報を格納するメモリ704に結合される。ロボットは、RFタグ情報をコントローラ222に通信するために、コントローラ222に直接またはリーダ制御部232を介して結合される。エンドエフェクタ144をRFリーダに導入するために使用される開口部は、ウエハをチャンバに出し入れするために使用されるのと同じ開口部であり得るか、またはチャンバの側壁に設けられたアクセスウィンドウもしくはゲート弁などの異なる開口部であり得る。RFリーダを含むエンドエフェクタ144は、ウエハをチャンバに出し入れするために使用されるのと同じエンドエフェクタであり得るか、または異なるエンドエフェクタであり得る。
RFリーダは、エンドエフェクタ144の下面、および/またはエンドエフェクタ144の上面、および/またはエンドエフェクタ144の1つまたは複数の指の先端、および/またはエンドエフェクタ144の指の内側もしくは外側、またはエンドエフェクタ144上の任意の他の場所に設けられてもよい。エンドエフェクタがトラバーサル経路に沿ってチャンバに導入されると、リーダ経路に沿ってトラバースするエンドエフェクタ144上の1つまたは複数のRFリーダは、1つまたは複数の消耗部品内に位置する様々なRFタグをアクティブ化し、アクティブ化されたRFタグによって生成されたRF信号を通じて提供される情報を読み取る。RFタグからの情報は、メモリ704に格納され、コントローラ222と共有される。コントローラ222は、タグおよび信号強度情報を使用してRFタグを識別し、関連する消耗部品の属性を決定する。
図7Bは、リーダリング242が、チャンバ内に配置されたRFタグを検出、アクティブ化、および読み取るために係合される代替の実施態様を示している。リーダリング242の設計は、エッジリング206の設計と同様であり得、RFリーダ243の1つまたは複数は、リーダリング242の上面および/または下面に配置され得る。リーダリング242は、エンドエフェクタ144上でバランスをとることができ、エンドエフェクタ144の指は細長く、アーム支持部に近い近位端と、細長い指の先端で画定された遠位端とを含む。接触パッドは、信頼できる支持をその上で受け入れられるリーダリング242に提供するように、近位端および遠位端(すなわち、細長い指の先端)でエンドエフェクタ144上に設けられ得る。リーダリング242を備えたエンドエフェクタ144がチャンバに導入されると、リーダリング242上の1つまたは複数のRFリーダ243は、消耗部品に埋め込まれたRFタグ304を検出し、RFタグ304をアクティブ化して識別情報を読み取る。ロボットアームは、チャンバ内へのおよびチャンバ内でのエンドエフェクタ144の移動を制御し、すべてのRFタグの検出を可能にする。
代替の実施態様では、図7Bに示されるリーダリング242の代わりに、表面(下面または上面のいずれかに)に配置されたRFリーダ243を備えたウエハ状デバイス(図示せず)を使用することができる。そのような実施態様では、エンドエフェクタ144は、リーダリング242またはウエハと同様の方式で、ウエハ状デバイスのバランスをとって移動させるために使用され得る。
リーダリング242またはウエハ状デバイスを使用する実施態様では、ウエハを移送するために使用される既存のエンドエフェクタは、修正を必要とせずに、リーダリング242またはウエハ状デバイスをチャンバに移動させるために使用することができる。エンドエフェクタは、RFリーダ243が消耗部品におけるRFタグと位置合わせするように、下部電極の表面から事前に定義された高さでリーダリング242またはウエハ状デバイスを保持するように構成される。RFリーダ243がプローブ上に配置される代替の実施態様では、プローブは、異なる消耗部品に配置されたRFタグを検出、アクティブ化、および読み取るために異なる方向に移動され得る。エンドエフェクタを使用してRFタグを読み取る必要があるたびに、エンドエフェクタは、リーダリング242またはウエハ状デバイスをチャンバに移動させ、RFタグが読み取られて情報が収集された後、リーダリングまたはウエハ状デバイスがチャンバ外に移動される。次に、ウエハ状デバイスまたはリーダリングを第2のチャンバに挿入し、第2のチャンバ内の1つまたは複数の消耗部品に埋め込まれたRFタグを読み取ることなどができる。これらの実施態様では、リーダリングまたはウエハ状デバイスは下部電極にロードされないが、RFタグから情報を読み取るためにリーダ経路に沿って画定された高さに維持される。いくつかの実施態様では、リーダリング242またはウエハ状構造は、プロセスツールに結合されたリングステーション内のバッファに格納され、ATMおよび/またはVTMのロボットからのエンドエフェクタは、リングステーションからリーダリングを取り出し、リーダリングをプロセスツールの1つまたは複数のプロセスモジュールに移動させるように構成される。別の実施態様では、リーダリングまたはウエハ状デバイスは、アライナ内のスロットまたはエアロック(すなわち、移送モジュール)に格納することができる。RFタグをアクティブ化するために使用されるRFリーダは、電池式であり得る。
さらに他の実施態様では、RFリーダは、エンドエフェクタ上に直接配置され得る。この実施態様では、エンドエフェクタを使用してウエハをプロセスチャンバに出し入れするたび、RFリーダを使用して、プロセスチャンバ内の消耗部品をアクティブ化および追跡することができる。ウエハがプロセスチャンバに出入りするときにプロセス動作が発生しないので、RFタグのアクティブ化およびRFタグからの情報の読み取りは、プロセス動作を妨げない。あるいは、RFリーダは、プローブ上に配置され、リーダ制御部232に結合された移送アーム208を介してプロセスチャンバに出入りすることができる。移送アーム208は、チャンバ内で水平軸に沿って移動および/または垂直軸に沿って回転するように構成され、その上に埋め込まれたRFリーダ243がチャンバの異なるセクションに達し、消耗部品に埋め込まれたRFタグ304を検出および読み取ることを可能にする。移送アーム上に設けられた1つまたは複数のジョイントは、プローブ242上に配置されたRFリーダ243がチャンバの異なるセクションにアクセスすることを可能にするために、チャンバ内で十分な移動自由度を提供し得る。
図8Aは、一実施形態による、半導体処理ツール内で使用することができる消耗部品を製造するための方法のフローチャートである。消耗部品は、その中に埋め込まれた無線周波数タグを使用して追跡可能である。このフローチャートの様々な動作が順番に提示および説明されるが、当業者は、動作の一部またはすべてが異なる順序で実行され、組み合わされるか省略され、または並行して実行され得ることを理解するであろう。
方法は、動作810で開始し、ポケットがチャンバのプロセス領域とは反対側を向く消耗部品の側面に形成される(すなわち、画定される)。消耗部品は、ウエハが処理のためにチャンバ内に配置された台座または静電チャックに受け入れられるとき、ウエハを囲むように構成されたエッジリングであり得る。エッジリングの場合、ウエハに隣接する上面がプラズマチャンバの過酷な化学物質に曝露されるので、プロセス領域とは反対側を向く側面は下面である。下面に画定されたポケットは、画定された高さであり、最大の深さを有するエッジリングの部分に画定される。エッジリングは、内側半径に沿って画定されたステップを含むリングプロファイルを含み得る。したがって、ポケットは、最大の深さを有する部分に画定され、ポケットの高さは、最大の深さよりも小さいように画定される。ポケットがエッジリングの下側(すなわち、底側)に画定されるので、ポケットの高さは、ポケットの底部とエッジリングの上面との間に十分なエッジリング表面が存在することを確実にするように画定される。ポケットは、ポケットの底部からエッジリングの上面に残されたエッジリング表面の量が、エッジリングの寿命の間に消耗部品の上面で経験される摩耗の量よりも大きくなるように画定される。これは、ポケットに埋め込まれたRFタグが、エッジリングの寿命の間に損傷を受けないことを確実にする。ポケットの深さは、RFタグがエッジリングの両側からのチャンバの過酷な環境から十分に絶縁されることを確実にする。
無線周波数(RF)タグは、動作820に示されるように、ポケット内に埋め込まれる。RFタグは、一実施態様では、チャンバの環境に耐えることが可能な接着剤を使用して、ポケットの底面に接着することができる。
ポケットの開口部は、動作830に示されるように、画定された深さのプラグを使用してシールされる。プラグの深さは、ポケットの深さよりも小さいように画定されるが、RFタグを適切に絶縁するように、プラグおよびポケットの側壁に沿って画定されたプラグ-ポケット界面に沿って十分な深さを有するように画定される。一実施態様では、プラグは、エッジリングと同じ材料で作製される。例えば、プラグは、ポケットを形成するためにエッジリングがドリル加工されたときに除去されたエッジリングの一部であり得る。精密機械加工を使用してポケットを形成する場合、除去されるエッジリングの部分は、ポケットの開口部を覆いシールするための正確な表面属性および寸法を有することになる。この例では、プラグの深さは、RFタグを収容するのに十分なスペースをポケットに提供するように調整することができる。代替の実施態様では、プラグは、エッジリングと同様の物理的、化学的、および熱的性質を有する異なる材料で作製される。一実施態様では、プラグは、消耗部品に使用される材料と同じまたは実質的に同じ屈折率を有する材料で作製される。プラグの深さは、ポケットの深さとRFタグの深さの差よりも小さい。図4A~図4Cに示す深さ寸法を参照すると、プラグ306の深さ「d4」は、(d3-d5)よりも小さく、「d3」は、ポケット302の深さであり、「d5」は、RFタグ304の高さである。いくつかの実施態様では、エッジリングおよびプラグは、プラグの深さまでの視線を提供するために半透明の材料で作製されてもよい。
ポケットの開口部は、ポケットの側壁とプラグの側壁との間に画定されたプラグ-ポケット界面に沿ってシールされ、それによりシールがプラグの深さに対して行われる。シールは、例えば、パルスレーザ溶接機を使用したパルスレーザ溶接を使用するレーザ融合を介して行うことができ、パルスレーザは、RFタグを潜在的に損傷する可能性があるエッジリングの残りの部分に対して過度の熱を導入することなく、集束熱を選択領域に提供するように調節される。集束熱は、プラグ-ポケット界面に沿って2つの側壁を融合させて気密シールを提供するのに十分である。場合によっては、シールは、真空気密である。前述のように、圧入、接着剤による結合、接着剤結合で増強された圧入を含む、他の形態の溶接または結合も使用することができる。前述の形態は例として与えられており、網羅的であると見なされるべきではなく、他の形態の溶接/結合も使用することができる。
プラグ-ポケット界面がプラグの長さに沿ってシールされた後、動作840に示されるように、プラグの上面およびポケットの開口部に隣接する表面の一部が研磨/研削される。研磨/研削は、プラグおよび開口部の周りの領域の表面プロファイルをエッジリングの残りの部分の表面プロファイルと一致させることによって、エッジリングへのRFタグのシームレスな埋め込みを確実にするために行われる。研磨/研削は、ラッピングまたは他の表面仕上げ方法を使用して実施され、プラグを視覚的に検出不能にし、周囲の表面に対して開口部を視覚的に区別できないようにすることができる。
図8Bは、一実施形態による、半導体処理ツール内で使用することができる消耗部品を追跡するための方法のフローチャートである。追跡は、その中に埋め込まれた無線周波数タグを介して可能になる。
方法は、動作850で開始し、無線周波数タグは、プロセスチャンバ内で受け入れられた消耗部品の側面に画定されたポケット内に埋め込まれる。消耗部品は、一実施態様では、ウエハが消耗部品の基板支持領域に受け入れられたとき、プロセスチャンバ内に受け入れられてウエハを囲むように構成されるエッジリングであり得る。無線周波数(RF)タグが埋め込まれている側面は、プロセスチャンバ内で画定された処理領域とは反対側を向く側面である。いくつかの実施態様では、ポケットは、消耗部品の下面に画定され得る。代替の実施態様では、ポケットは、プロセス領域の外側にある消耗部品の外側表面に画定され得る。RFタグは、パッシブタグである。
消耗部品のポケット内に埋め込まれたRFタグは、動作860に示されるように、プロセスチャンバ内のリーダによってアクティブ化される。いくつかの実施態様では、リーダは、プロセスチャンバに挿入される。例えば、一実施態様では、リーダは、ロボットのエンドエフェクタの下面に画定され、処理のためにウエハを搬送するとき、またはRFタグからのデータを収集する必要があるときにプロセスチャンバに導入され得る。代替の実施態様では、リーダは、リーダリングに含まれるか、またはロボットのエンドエフェクタ上で支持され、プロセスチャンバに導入されるウエハ状デバイスの下面に含まれ得る。これらの実施態様では、ロボットは、プロセスチャンバに出入りするロボットの移動を制御するための信号を提供するコントローラに結合される。リーダは、電源に結合され、電源からの電力は、リーダに電力を供給し、RFタグをアクティブ化するために使用される。
消耗部品に関連するデータは、動作870に示されるように、アクティブ化されたRFタグを介してリーダによって収集される。いくつかの実施態様では、リーダは、RFリーダであり、メモリを含むか、またはメモリに結合される。リーダ内のまたはリーダに結合されたメモリは、リーダによって収集されたデータを格納するために使用される。
リーダによって収集されたデータは、動作880に示されるように、プロセスチャンバで使用される消耗部品の属性を識別するために解釈される。いくつかの実施態様では、リーダによって収集されたデータは、コントローラに送信され、コントローラは、データを解釈し、消耗部品の1つまたは複数の属性を識別する。収集されたデータから識別され得る1つまたは複数の属性は、消耗部品の識別情報、またはプロセスチャンバで使用される消耗部品の使用データ、またはそれらの組み合わせを含む。いくつかの実施態様では、収集された情報は、消耗部品を交換する必要がある前に、消耗部品に残された使用寿命の量を決定するために使用される。
本明細書で説明される様々な実施態様は、in-situで消耗部品をリアルタイムで追跡する方法を提供する。この方法は、チャンバの過酷な環境への継続的な曝露によって容易に損傷する可能性がある、または容易に改ざんされる可能性がある刻印された識別番号を提供する従来の追跡方法に勝る利点を提供する。曝露を回避するためにこれらの刻印された識別番号が下側に提供された場合、消耗部品は、読み取るためにチャンバ内のその位置から取り外さなければならず、これはチャンバを開き、部品を取り外し、部品を読み取りおよび検証し、部品を交換し、チャンバを閉じて調整することを伴う。これは、大きなダウンタイムをもたらしていた。現在の実施形態は、タグがin-situにあり、チャンバ内の過酷な環境から絶縁されている一方でタグからの情報を容易に読み取ることができるように、消耗部品内にタグを埋め込む方法を提供する。いくつかの実施態様によれば、タグは、超高周波で動作するように構成されたパッシブタグ(すなわち、超高周波タグ)であり、チャンバ内でプラズマを生成するために使用される周波数よりも十分に大きい周波数であるため、チャンバが動作しているときに共振して信号を送り返すことはなく、それによってチャンバの動作周波数で実施されるプロセスへの干渉を回避する。タグを読み取る必要があるときはいつでも、チャンバ内のプロセスを停止することができ、移送アームを介してRFリーダが埋め込まれたプローブを導入することができる。RFリーダは、RFタグに電力を供給し、RFタグによって送信されたRF信号を読み取って識別情報を決定する。RFタグに符号化された識別情報は再現することが困難であるため十分に改ざん防止となっており、ポケットの気密シールは、RFラジカルがポケットに入ってRFタグを損傷しないことを保証する。RFタグで提供される情報は、部品の開始から死亡まで(すなわち、部品がそのライフコースを果たし、廃棄されなければならないとき)を容易に追跡することを可能にすることによって、消耗部品のデジタルスレッドを維持することを可能にする。消耗部品は、インターロックシステムの一部であり得、チャンバは、機能するために特定の部品を必要とし、各チャンバ部品は、システムの円滑な機能を可能にするためにコントローラまたはコンピュータと通信する。
いくつかの実施態様では、RFタグは、セラミックシェル内にカプセル化され得る。RFタグは、高温で動作するように選択される。いくつかの実施態様では、RFタグが機能する温度は、約40℃~約150℃である。他の実施態様では、RFタグは、上記で提供された範囲よりもはるかに高い温度(例えば、最大400℃以上)で動作するように構成され得る。いくつかの実施態様では、より低い温度(すなわち、上記で定義された範囲)で動作しているRFタグは、温度が著しく低いチャンバのプロセス環境とは反対側を向く裏側または側面にRFタグを埋め込むことによって遮蔽され得る。RFタグを載置するためのポケットは、RFタグが極端な温度をかろうじて感じることができるように十分に深く、一方、十分な量の消耗部品がRFタグの底面を覆う。他の利点は、本明細書で説明される様々な実施態様を読んだ後、当業者によって視覚化することができる。
図9は、本開示の実施形態を実施するためのコンピュータシステム900の簡略化概略図である。本明細書に記載の方法は、従来の汎用コンピュータシステムなどのデジタル処理システムを使用して実施することができることを理解されたい。1つの機能のみを実施するように設計またはプログラムされた専用コンピュータが、代替で使用されてもよい。コンピュータシステムは、バス910を通してランダムアクセスメモリ(RAM)906、読み取り専用メモリ(ROM)912、および大容量記憶装置914に結合された中央処理ユニット(CPU)904を含む。システムコントローラプログラム908はRAM906に常駐するが、大容量記憶装置914に常駐することもできる。
大容量記憶装置914は、ローカルまたはリモートであり得るフロッピーディスクドライブまたは固定ディスクドライブなどの永続的なデータ記憶装置を表す。ネットワークインターフェース930は、ネットワーク932を介した接続を提供し、他のデバイスとの通信を可能にする。CPU904は、汎用プロセッサ、専用プロセッサ、または特別にプログラムされた論理デバイスで具体化され得ることを理解されたい。入力/出力(I/O)インターフェースは、異なる周辺機器との通信を提供し、バス910を通して、CPU904、RAM906、ROM912、および大容量記憶装置914と接続される。サンプル周辺機器は、ディスプレイ918、キーボード922、カーソル制御部924、リムーバブルメディアデバイス934などを含む。
ディスプレイ918は、本明細書に記載のユーザインターフェースを表示するように構成される。キーボード922、カーソル制御部(例えば、マウス)924、リムーバブルメディアデバイス934、および他の周辺機器は、コマンド選択における情報をCPU904に通信するために、I/Oインターフェース920に結合される。外部デバイスとの間のデータは、I/Oインターフェース920を通して通信され得ることを理解されたい。実施形態は、有線ベースまたは無線ネットワークを通してリンクされたリモート処理デバイスによってタスクが実施される分散コンピューティング環境でも実践することができる。
実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家庭用電化製品、ミニコンピュータ、メインフレームコンピュータなどを含む様々なコンピュータシステム構成で実践することができる。実施形態は、ネットワークを通してリンクされたリモート処理デバイスによってタスクが実施される分散コンピューティング環境でも実践することができる。
上記の実施形態を念頭に置いて、実施形態は、コンピュータシステムに記憶されたデータを伴う様々なコンピュータ実装動作を用いることができることを理解されたい。これらの動作は、物理量の物理的操作を必要とする動作である。実施形態の一部を形成する本明細書に記載の動作のいずれも、有用な機械動作である。実施形態はまた、これらの動作を実施するためのデバイスまたは装置に関する。装置は、専用コンピュータなど、必要な目的のために特別に構築され得る。専用コンピュータとして定義されるとき、コンピュータは、その専用の目的のために動作可能でありつつ、専用の目的の一部ではない他の処理、プログラム実行、またはルーチンを実施することもできる。あるいは、動作は、コンピュータメモリ、キャッシュに記憶されるかネットワークを介して取得される1つまたは複数のコンピュータプログラムによって、選択的にアクティブ化または構成される汎用コンピュータによって処理され得る。ネットワークを介してデータが取得される場合、そのデータは、ネットワーク上の他のコンピュータ(例えば、計算資源のクラウド)によって処理されてもよい。
1つまたは複数の実施形態は、コンピュータ可読媒体上のコンピュータ可読コードとして製作することもできる。コンピュータ可読媒体は、データを記憶することができる任意のデータストレージデバイスであり、データはその後コンピュータシステムによって読み取られ得る。コンピュータ可読媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み取り専用メモリ、ランダムアクセスメモリ、CD-ROM、CD-R、CD-RW、磁気テープ、ならびに他の光学および非光学データストレージデバイスを含む。コンピュータ可読媒体は、コンピュータ可読コードが分散方式で記憶および実行されるように、ネットワーク結合コンピュータシステム上に分散されたコンピュータ可読有形媒体を含むことができる。
方法動作は特定の順序で説明されたが、各動作の間に他のハウスキーピング動作が実施され得るか、または各動作がわずかに異なる時間に発生することができるように調整され得るか、またはオーバーレイ動作の処理が所望の方法で実施される限り、処理に関連する様々な間隔で処理動作の発生を可能にするシステムに分散され得ることを理解されたい。
前述の実施形態は、明確な理解のために多少詳しく説明されているが、一定の変更および修正を添付の特許請求の範囲の範囲内で実践できることは明らかであろう。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、実施形態は本明細書に述べられる詳細に限定されるべきではなく、添付の特許請求の範囲および均等物の範囲内で修正されてもよい。

Claims (27)

  1. ウエハを処理するために使用されるプロセスチャンバで使用するための消耗部品であって、前記消耗部品は、
    プロセス領域とは反対側を向く前記消耗部品の側面に画定されたポケットであって、前記ポケットは、第1の深さまで延び、開口部を有するポケットと、
    前記ポケット内に埋め込まれた無線周波数タグであって、前記無線周波数タグは、アクティブ化されると高周波信号を生成するように構成される無線周波数タグと、
    前記開口部を覆い、前記無線周波数タグを介して第2の深さまで延びるプラグであって、前記プラグは、レーザ融合されて前記ポケット内の前記無線周波数タグをシールし、前記レーザ融合は、前記プラグの側壁と前記ポケットの側壁との間に画定された界面に沿って実施されるプラグと
    を備える、消耗部品。
  2. 請求項1に記載の消耗部品であって、
    前記レーザ融合は、前記プラグの前記側壁および前記ポケットの前記側壁からの材料を使用して前記界面の気密シールを画定する、消耗部品。
  3. 請求項1に記載の消耗部品であって、
    前記無線周波数タグは、超高周波タグであり、前記超高周波タグについての周波数は、前記プロセスチャンバ内でプラズマを生成するために使用される動作周波数よりも大きくなるように選択される、消耗部品。
  4. 請求項3に記載の消耗部品であって、
    前記無線周波数タグが動作する前記周波数は、約150メガヘルツ~約1ギガヘルツである、消耗部品。
  5. 請求項3に記載の消耗部品であって、
    前記プロセスチャンバの前記動作周波数は、約100キロヘルツ~約100メガヘルツである、消耗部品。
  6. 請求項1に記載の消耗部品であって、
    前記無線周波数タグは、接着剤を使用して前記ポケットの底面に固定され、前記接着剤は、前記プロセスチャンバ内のプロセス条件に耐えるように選択される、消耗部品。
  7. 請求項1に記載の消耗部品であって、
    前記第1の深さは、前記ポケットの底面と前記消耗部品の上面との間に存在する前記消耗部品の量が、前記消耗部品の寿命の間に前記消耗部品が経験する摩耗の量よりも大きくなるように画定される、消耗部品。
  8. 請求項1に記載の消耗部品であって、
    前記プラグの前記第2の深さは、前記ポケットの前記第1の深さよりも小さい、消耗部品。
  9. 請求項1に記載の消耗部品であって、
    前記プラグおよび前記消耗部品は、実質的に同じ屈折率を有する半透明の材料で作製される、消耗部品。
  10. 請求項9に記載の消耗部品であって、
    前記界面の屈折率は、前記プラグおよび前記消耗部品の屈折率とは異なり、前記レーザ融合は、前記界面の前記屈折率を調節することによって実施される、消耗部品。
  11. 請求項1に記載の消耗部品であって、
    前記ポケットを画定するための前記消耗部品の前記側面は、下面もしくは真空に維持される内径に沿った表面であるか、または前記プロセス領域とは反対側を向く前記消耗部品の外側である、消耗部品。
  12. 請求項1に記載の消耗部品であって、
    前記無線周波数タグは、パッシブタグである、消耗部品。
  13. 請求項12に記載の消耗部品であって、
    前記無線周波数タグは、セラミックシェル内にカプセル化される、消耗部品。
  14. 請求項1に記載の方法であって、
    前記消耗部品は、冷却要素をさらに含み、前記ポケットは、前記冷却要素に近接して画定される、方法。
  15. プロセスチャンバで使用するための消耗部品を製造するための方法であって、
    前記プロセスチャンバ内に画定されたプロセス領域とは反対側を向く前記消耗部品の側面に第1の深さのポケットを形成することと、
    前記ポケット内に無線周波数タグを埋め込むことと、
    第2の深さのプラグを使用して、前記プラグの上面が前記ポケットに隣接する前記消耗部品の表面と同一平面上にあるように前記ポケットの開口部をシールすることであって、前記シールは、前記プラグの側壁と前記ポケットの側壁との間に画定された界面に沿ったレーザ融合を使用して実施され、それにより前記プラグおよび前記ポケットの前記側壁からの材料を使用して前記界面の気密シールを提供することと、
    前記消耗部品の残りの表面の表面プロファイルと一致するように、前記プラグの前記上面および前記ポケットの前記開口部に隣接する前記消耗部品の前記表面の一部を研磨することと
    を含み、
    前記消耗部品は、内部に埋め込まれた前記無線周波数タグを使用して追跡可能である、
    方法。
  16. 請求項15に記載の方法であって、
    前記消耗部品および前記プラグは、実質的に同じ屈折率を有する半透明の材料で作製され、前記界面の屈折率は、前記消耗部品および前記プラグの前記屈折率とは異なり、
    前記レーザ融合を実施することは、
    前記プラグの前記第2の深さまで延びる前記界面の前記屈折率を検出するようにレーザ溶接機を調節することと、
    前記調節されたレーザ溶接機を使用して、前記界面に沿った前記ポケットの前記側壁に対する前記プラグの前記側壁の前記レーザ融合を実施し、前記プラグおよび前記ポケットの材料を使用して前記界面の気密シールを画定することと
    を含む、
    方法。
  17. 請求項15に記載の方法であって、
    前記ポケットの前記第1の深さは、前記ポケットの底面と前記消耗部品の上面との間の前記消耗部品の深さが、前記消耗部品の寿命の間に前記消耗部品が経験する摩耗の量よりも大きくなるように画定される、方法。
  18. 請求項15に記載の方法であって、
    前記プラグの第2の深さは、前記ポケットの前記第1の深さよりも小さい、方法。
  19. 請求項15に記載の方法であって、
    前記ポケットを形成するための前記消耗部品の前記側面は、下面もしくは真空に維持される内径であるか、または前記プロセス領域とは反対側を向く前記消耗部品の外側である、方法。
  20. 請求項15に記載の方法であって、
    前記無線周波数タグは、セラミックシェル内にカプセル化される、方法。
  21. 請求項15に記載の方法であって、
    前記無線周波数タグは、超高周波タグであり、前記超高周波タグについての周波数は、前記プロセスチャンバ内でプラズマを生成するために使用される動作周波数よりも大きくなるように選択される、方法。
  22. 請求項21に記載の方法であって、
    前記無線周波数タグが動作する前記周波数は、約150メガヘルツ~約1ギガヘルツであり、前記プロセスチャンバの前記動作周波数は、約100キロヘルツ~約100メガヘルツである、方法。
  23. 請求項15に記載の方法であって、
    前記開口部をシールすることは、前記プラグを前記開口部に圧入することと、前記界面に沿って接着剤結合で前記シールを増強し、前記ポケットの前記気密シールを提供することとをさらに含む、方法。
  24. 請求項15に記載の方法であって、
    前記プラグは、ねじ山付きプラグであり、前記開口部をシールすることは、前記ポケットの前記気密シールを提供するように前記プラグを前記開口部にねじ込むことを含む、方法。
  25. プロセスチャンバで使用される消耗部品を追跡するための方法であって、
    前記プロセスチャンバ内に画定された処理領域とは反対側を向く前記消耗部品の側面に画定されたポケット内に無線周波数タグを埋め込むことであって、前記ポケットの開口部は、レーザ融合を実施することによってプラグでシールされることと、
    リーダによって、前記埋め込まれた無線周波数タグをアクティブ化することと、
    前記リーダによって、前記無線周波数タグを介して前記消耗部品に関連するデータを収集することであって、前記リーダは、前記リーダに電力を供給し、前記無線周波数タグをアクティブ化する電源に結合され、前記リーダは、前記無線周波数タグから収集された前記データを格納するためのメモリにさらに結合されることと、
    前記収集されたデータを解釈し、前記プロセスチャンバで使用される前記消耗部品の属性を識別することであって、前記属性は、前記消耗部品の識別または使用データに関連する情報を含むことと
    を含む、方法。
  26. 請求項25に記載の方法であって、
    前記リーダを前記プロセスチャンバに挿入することをさらに含み、前記挿入することは、
    (a)処理のためにウエハを搬送するとき、または前記無線周波数タグに関連するデータを収集する必要があるときに前記プロセスチャンバに導入されるロボットのエンドエフェクタの下面、または
    (b)前記ロボットの前記エンドエフェクタ上で支持され、前記無線周波数タグに関連する前記データを収集する必要があるときに前記プロセスチャンバに導入されるリーダリング、または
    (c)前記ロボットの前記エンドエフェクタ上で支持され、前記無線周波数タグに関連する前記データを収集する必要があるときに前記プロセスチャンバに導入されるウエハ状デバイスの下面であって、
    前記ロボットは、コントローラに結合されるウエハ状デバイスの下面、または
    (d)移送アームに取り付けられ、前記無線周波数タグに関連する前記データを収集する必要があるときに前記プロセスチャンバに導入されるプローブであって、前記移送アームは、水平軸および垂直軸に沿った移動自由度を前記プローブに提供する1つまたは複数のジョイントを有し、前記移送アームは、リーダ制御部を介して前記コントローラに結合され、
    前記リーダの移動は、コントローラからの信号に基づいて制御されるプローブ
    に前記リーダを埋め込むことをさらに含む、方法。
  27. 請求項25に記載の方法であって、
    前記無線周波数タグから収集された前記データをコントローラに送信することと、
    前記コントローラによって、前記無線周波数タグから収集された前記データを解釈し、前記消耗部品の前記属性を識別することと
    をさらに含む、方法。
JP2022535812A 2019-12-19 2020-12-11 消耗チャンバ部品におけるカプセル化rfid Pending JP2023507093A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962950449P 2019-12-19 2019-12-19
US62/950,449 2019-12-19
PCT/US2020/064519 WO2021126696A1 (en) 2019-12-19 2020-12-11 Encapsulated rfid in consumable chamber parts

Publications (2)

Publication Number Publication Date
JP2023507093A true JP2023507093A (ja) 2023-02-21
JPWO2021126696A5 JPWO2021126696A5 (ja) 2023-12-01

Family

ID=76477817

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022535812A Pending JP2023507093A (ja) 2019-12-19 2020-12-11 消耗チャンバ部品におけるカプセル化rfid

Country Status (6)

Country Link
US (1) US20230011537A1 (ja)
JP (1) JP2023507093A (ja)
KR (1) KR20220117313A (ja)
CN (1) CN114830317A (ja)
TW (1) TW202139322A (ja)
WO (1) WO2021126696A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11978036B2 (en) * 2022-03-23 2024-05-07 Toshiba Global Commerce Solutions Holdings Corporation Directional radio frequency identification system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4431350B2 (ja) * 2003-09-29 2010-03-10 東京エレクトロン株式会社 封止リングとその管理システム
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US10041868B2 (en) * 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
KR101876548B1 (ko) * 2016-12-30 2018-07-09 상구정공(주) 샤워헤드 플레이트 및 이의 제작방법

Also Published As

Publication number Publication date
WO2021126696A1 (en) 2021-06-24
KR20220117313A (ko) 2022-08-23
TW202139322A (zh) 2021-10-16
US20230011537A1 (en) 2023-01-12
CN114830317A (zh) 2022-07-29

Similar Documents

Publication Publication Date Title
US11848220B2 (en) RFID part authentication and tracking of processing components
TWI709173B (zh) 半導體製造設備中的可消耗零件之耗損偵測
TWI698381B (zh) 前開式環件傳送盒
CN107689318B (zh) 监测等离子体处理系统和工艺与工具控制的方法和系统
TWI723004B (zh) 用於半導體製造腔室中之可消耗零件的剩餘使用壽命的估計
JP2022536683A (ja) プロセスキットリング摩耗の検出器
JP2023507093A (ja) 消耗チャンバ部品におけるカプセル化rfid
WO2019118211A1 (en) Corrosion-resistant temperature sensor probe
KR101791870B1 (ko) 검사 방법, 이를 포함하는 기판 처리 방법 및 기판 처리 장치
US20230047039A1 (en) Edge ring transfer with automated rotational pre-alignment

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231122