CN106468541A - The abrasion detection of the consumable part in semiconductor manufacturing facility - Google Patents

The abrasion detection of the consumable part in semiconductor manufacturing facility Download PDF

Info

Publication number
CN106468541A
CN106468541A CN201610701950.6A CN201610701950A CN106468541A CN 106468541 A CN106468541 A CN 106468541A CN 201610701950 A CN201610701950 A CN 201610701950A CN 106468541 A CN106468541 A CN 106468541A
Authority
CN
China
Prior art keywords
consumable part
sensor
room
distance
transferring arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610701950.6A
Other languages
Chinese (zh)
Other versions
CN106468541B (en
Inventor
布雷特·C·理查森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106468541A publication Critical patent/CN106468541A/en
Application granted granted Critical
Publication of CN106468541B publication Critical patent/CN106468541B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N3/00Investigating strength properties of solid materials by application of mechanical stress
    • G01N3/56Investigating resistance to wear or abrasion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Abstract

The present invention relates to the abrasion detection of the consumable part in semiconductor manufacturing facility.Method, system and computer program are suggested to the abrasion for determining the consumable part in semiconductor processing device.A kind of room includes:Reference component, consumable part, for transmitting substrate to described indoor transferring arm, the sensor on described transferring arm and controller.Described reference component in the operating process of described room without frayed, and described consumable part in the operating process of described room through frayed.Described sensor be configured as described transferring arm adjacent to described consumable part advance when measurement from described sensor to first distance on the surface of described consumable part, and, described sensor be configured as described transferring arm adjacent to described reference component advance when measurement from described sensor to the second distance on the surface of described reference component.Described controller determines the wear extent of described consumable part based on described first distance and second distance.

Description

The abrasion detection of the consumable part in semiconductor manufacturing facility
Claim of priority
This application claims August in 2015 " the abrasion inspection of the consumable part in semiconductor manufacturing facility that submit to, entitled on the 21st The priority of the U.S. Provisional Application No.62/208,499 of survey ".This provisional application is incorporated herein by way of reference.
Technical field
Embodiment of the present invention is related to detect the mill of the consumable part (consumable part) in semiconductor manufacturing facility The method of damage, the system and program.
Background technology
Plasma is used to process substrate (such as chip or flat board) to form electronic product (as integrated electricity for a long time Road or flat faced display).Semiconductor wafer is normally placed at etching interior, has photoresist masking layer, is underlied with guiding The etching of material.The underlying materials that etch processes removal is not covered by photoresist.
Etch system has in the described indoor consumable part wearing away in the operating process of this room.This requires basis Specification periodic replacement consumable part to keep the processing performance on chip, include CD (critical size) control, etch uniformity and Defect.In production environment, single etching chamber can be used for multiple etch processes, and every kind of etch processes may be to the consumption portion of room The wear rate of part has different impacts.This makes it difficult to when prediction unit can be worn and need to safeguard, and If there is can be used to compensate the already known processes adjustment of abrasion, then may be difficult to be aware of when to carry out real-time adjustment.
Room is processed hourage, the quantity of the chip of operation or the measurement beyond specification chip by some system managers The basis that data is changed as consumable part.However, time-based maintenance scheme may result in the too early replacing of room part, Because system must take into the treatment conditions under worst case.Further, the maintenance based on chip metering or technique adjustment can meet with Meet the delay of the feedback response of several hours or several days, metrology and measurement completes after etching, thus in characterization processes fault Make chip bear risk simultaneously.
Embodiments of the present invention are exactly to produce in this context.
Content of the invention
Method, device, system and computer program are suggested to for determining the consumable part in semiconductor processing device Abrasion.It should be appreciated that embodiments of the present invention can be carried out in many ways, such as with method, equipment, system, dress Put or computer-readable medium on computer program execution.Some embodiments explained below.
One or more system for computer be configurable to by lead to being in operation system execution running software, Firmware, hardware or combinations thereof are installed to system to execute specific operation or running.One or more computer programs May be configured to by including causing the instruction of described device execution running to execute spy when being executed by data processing equipment Fixed operation.One total aspect includes the room for processing substrate, and this room includes:Reference component (a reference part), Consumable part, transferring arm, sensor and controller.Described reference component in the operating process of described room without frayed, and Described consumable part is in the operating process of described room through frayed.Described transferring arm is used for transmitting substrate to described interior, and Described sensor be configured as described transferring arm adjacent to described consumable part advance when measurement disappear to described from described sensor First distance on the surface of consumption part.Additionally, described sensor is configured as described transferring arm adjacent to described reference component row Measure from described sensor to the second distance on the surface of described reference component when entering.Described controller is configured to based on described First distance and second distance are determining the wear extent of described consumable part.The other embodiment of this aspect includes counting accordingly The calculation machine system and record computer program on one or more computer memory devices, is each configured to execute The running of methods described.
Implementation can include one or more of following characteristics.In described room, described controller calculates described The distance between plane on described surface of the plane on described surface of consumable part and described reference component is poor, described range difference Deduct described second distance equal to described first distance.In described room, from when initially installing described consumable part, described The change of described range difference over time followed the tracks of by controller.In described room, described controller determines when described range difference ginseng Impinge upon described consumable part when the described range difference recording during the described consumable part of initial installation changes scheduled volume should be replaced.Institute In the room stated, in the case of need not opening described room, determine the wear extent on described consumable part, wherein this sensor right and wrong Contact type distance measuring apparatus.In described room, described controller calculates the plane on described surface and the institute of described consumable part State the distance between plane on described surface of reference component poor, described range difference deducts described second equal to described first distance Distance, wherein, described controller determines the change of technological parameter, with described based on starting when initially installing described consumable part The change of range difference over time is compensating the abrasion of described consumable part.In described room, described sensor is coupled to The end effector of described transferring arm.In described room, described sensor be depth camera or confocal color measurement apparatus or Low coherence interferometric measuring means or one of electric capacity range sensor or color change detector.In described room, It also includes:Station in vacuum transfer or the load lock for storing described sensor, wherein, described transferring arm load from Described station in described vacuum transfer or load lock load described sensor.In described room, described consumable part is edge Ring, wherein said reference component is to be used for keeping the chuck of described substrate in the operating process of described room.In described room, institute State sensor and be wirelessly connected to described controller, wherein said sensor comprises battery.In described room, described sensor energy It is arranged on the end effector of described transferring arm.In described room, described sensor is installed in the structure of similar substrates, Described transferring arm is made to load sensor as seemingly described transferring arm just loads substrate.
One total aspect includes a kind of method of the abrasion for determining consumable part, and methods described includes:For inciting somebody to action Substrate is loaded into the operation on the transferring arm in semiconductor manufacturing room, and described transferring arm includes sensor.Methods described also includes: For utilizing sensor measurement from described sensor to described consumption portion when described transferring arm is advanced adjacent to described consumable part The operation of first distance on the surface of part, described consumable part is in the operating process of described room through frayed.Methods described is also Including:For utilizing sensor measurement from described sensor to described base when described transferring arm is advanced adjacent to described reference component The operation of the second distance on the surface of quasi-component, described reference component is in the operating process of described room without frayed.Described Method also includes:For determining the operation of the wear extent of described consumable part based on described first distance and described second distance.
One total aspect includes a kind of room for processing substrate, and this room includes:Reference component, consumable part, transmission Arm, sensor and controller.Described consumable part calculus deposits in the operating process of described room.Additionally, described transferring arm For transmitting described substrate to described interior.On described transferring arm, wherein said sensor is configured as described sensor Described transferring arm adjacent to described consumable part advance when measurement from described sensor to the surface of described consumable part first away from From, wherein said sensor be configured as described transferring arm adjacent to described reference component advance when measurement from described sensor to The second distance on the surface of described reference component.Described controller is configured to based on described first distance and second distance Lai really The amount of the deposit on fixed described consumable part.The other embodiment of this aspect includes corresponding computer system, Yi Jiji Computer program on one or more computer memory devices for the record, is each configured to execute the running of methods described.
Specifically, some aspects of the present invention can be described below:
1. a kind of room for processing substrate, this room includes:
Reference component in room, described reference component is in the operating process of described room without frayed;
Consumable part in described room, described consumable part is in the operating process of described room through frayed;
For transmitting described substrate to described indoor transferring arm;
Sensor on described transferring arm, wherein said sensor is configured as described transferring arm adjacent to described consumable part During traveling, from described sensor to first distance on the surface of described consumable part, wherein said sensor is configured as measurement Described transferring arm adjacent to described reference component advance when measurement from described sensor to the surface of described reference component second away from From;And
Controller, it is configured to determine the abrasion of described consumable part based on described first distance and described second distance Amount.
2. the room according to clause 1, wherein, described controller calculates the plane on described surface of described consumable part and described The distance between plane on described surface of reference component is poor, described range difference equal to described first distance deduct described second away from From.
3. the room according to clause 2, wherein, from when initially installing described consumable part, described controller is followed the tracks of described The change of range difference over time.
4. the room according to clause 3, wherein, described controller determines installs described consumption when described range difference with reference to initial When the described range difference recording during part changes scheduled volume, described consumable part must be changed.
5. the room according to clause 1, wherein, in the case of need not opening described room, determines the mill on described consumable part Damage amount, wherein said sensor is non-contact type distance measuring apparatus.
6. the room according to clause 1, wherein, described controller calculates the plane on described surface of described consumable part and described The distance between plane on described surface of reference component is poor, described range difference equal to described first distance deduct described second away from From, wherein, described controller determines the change of technological parameter, with based on from initial described consumable part is installed when start described away from The change of deviation over time is compensating the abrasion of described consumable part.
7. the room according to clause 1, wherein, described sensor is coupled to the end effector of described transferring arm.
8. the room according to clause 1, wherein said sensor is depth camera or confocal color measurement apparatus or Low coherence Property interferometric measuring means or one of electric capacity range sensor or color change detector.
9. the room according to clause 1, it also includes:
For storing the station in the vacuum transfer of described sensor or load lock, wherein, described transferring arm loads from described Described station in vacuum transfer or load lock loads described sensor.
10. the room according to clause 1, wherein, described consumable part is edge ring, and wherein said reference component is in described room Operating process in for keeping the chuck of described substrate.
11. rooms according to clause 1, wherein, described sensor is wirelessly connected to described controller, wherein said sensor Comprise battery.
12. rooms according to clause 1, wherein said sensor can be arranged on the end effector of described transferring arm.
13. rooms according to clause 1, wherein said sensor is installed in the structure of similar substrates so that described transmission Arm loads described sensor as seemingly described transferring arm just loads substrate.
A kind of 14. methods of the abrasion for determining consumable part, methods described includes:
Load a substrate on the transferring arm in semiconductor manufacturing room, described transferring arm includes sensor;
Utilize described sensor measurement from described sensor to described consumption portion when described transferring arm is advanced adjacent to consumable part First distance on the surface of part, described consumable part is in the operating process of described room through frayed;
Utilize described sensor measurement from described sensor to described reference section when described transferring arm is advanced adjacent to reference component The second distance on the surface of part, described reference component is in the operating process of described room without frayed;And
Determine the wear extent of described consumable part based on described first distance and described second distance.
15. methods according to clause 14, wherein it is determined that described wear extent also includes:Calculate the described of described consumable part The distance between plane on described surface of the plane on surface and described reference component is poor, described range difference be equal to described first away from From deducting described second distance.
16. methods according to clause 15, also include:
From initial described consumable part is installed when, follow the tracks of the change of described range difference over time, wherein, when described away from When deviation changes scheduled volume with reference to the described range difference recording in the described consumable part of initial installation, described consumable part is necessary Change.
17. methods according to clause 14, wherein, in the case of need not opening described room, determine on described consumable part Described wear extent, wherein said sensor is non-contact type distance measuring apparatus.
18. methods according to clause 14, wherein said sensor is depth camera or confocal color measurement apparatus or low Coherence's interferometric measuring means or one of electric capacity range sensor or color change detector.
A kind of 19. rooms for processing substrate, this room includes:
Reference component in the chamber;
Consumable part in the chamber, described consumable part calculus deposits in the operating process of described room;
For transmitting described substrate to described indoor transferring arm;
Sensor on described transferring arm, wherein said sensor is configured as described transferring arm adjacent to described consumable part During traveling, from described sensor to first distance on the surface of described consumable part, wherein said sensor is configured as measurement Described transferring arm adjacent to described reference component advance when measurement from described sensor to the surface of described reference component second away from From;And
Controller, it is configured to determine the deposition on described consumable part based on described first distance and described second distance The amount of thing.
20. rooms according to clause 19, wherein, described controller calculates the plane on described surface and the institute of described consumable part State the distance between plane on described surface of reference component poor, described range difference deducts described second equal to described first distance Distance;
Wherein, from when initially installing described consumable part, the change of described range difference over time followed the tracks of by described controller Change;And
Wherein, described controller determines and surveys with reference to during in the described consumable part of initial installation when described range difference
When the described range difference obtaining changes scheduled volume, described consumable part must be changed.
By following detailed description, in conjunction with accompanying drawing, some other aspect also will become clear from.
Brief description
Combine accompanying drawing with reference to description below and can be best understood by the present invention.
Fig. 1 shows the loading of chip in capacitance coupling plasma processing system according to an embodiment.
Fig. 2A is the detailed side view of the marginal portion of the chip according to an embodiment.
Fig. 2 B shows have on the chip leading to due to the corrosion of edge ring top surface according to an embodiment The shortage of plasma uniformity.
Fig. 2 C shows when loading chip from range sensor to the distance of described edge ring according to an embodiment Measurement.
Fig. 2 D shows when loading chip from range sensor to the top surface of described chuck according to an embodiment Distance measurement.
Fig. 3 describes to illustrate the typical semiconductor process cluster knot with the various modules of vacuum transfer module (VTM) interface Structure.
Fig. 4 A shows the transferring arm with two end effectors and range sensor according to an embodiment.
Fig. 4 B shows the transferring arm with single end executor and range sensor according to an embodiment.
Fig. 5 A shows the transferring arm with the range sensor being sandwiched on end effector according to an embodiment Bottom view.
Fig. 5 B shows the wafer-like device with embedded range sensor according to an embodiment.
According to an embodiment, Fig. 6 shows that the vertical dimension between edge ring and the top surface of chuck pushes away in time Move and change.
Fig. 7 is for determining the side of the abrasion of the consumable part in semiconductor processing device according to an embodiment The flow chart of method.
Fig. 8 is performed for the rough schematic view of the computer system of embodiments of the present invention.
Specific embodiment
A kind of room includes:For keeping the chuck of substrate in processing procedure;It is configured in processing procedure around lining The edge ring at bottom;For transmitting substrate to the transferring arm of described chuck;Range sensor on described transferring arm;And control Device.Described range sensor is configured as measuring from described range sensor when described transferring arm is advanced in described edge ring To the first distance of the top surface of described edge ring, and described range sensor is additionally configured to when described transferring arm is described Measure from described range sensor to the second distance of the top surface of described chuck when advancing on chuck.Because described first distance Difference and second distance between is the index of the wear extent of described edge ring, and therefore described controller is configured to based on described One distance and second distance are determining the wear extent of described edge ring.When wear extent exceedes predetermined threshold it is simply that changing edge When ring.
Embodiment provides in situ and using non-contact surface profile metering (for example, confocal color measurement or Low coherence Property interferometry or electric capacity range sensor) measuring wear rate and the profile of the indoor consumable part of etching.Range sensor It is placed on transferring arm, or on single feeler arm, and it is inserted into interior periodically by chip transmission gate.Institute The wear profile of the part of concern is to be produced by over time mapping (map) distance from range sensor to consumable part Raw.
It is clear that the present invention can carry out in the case of some or all in not having these specific detail.At other In example, it is not described in detail well-known process and operates to avoid unnecessarily obscuring the present invention.
Fig. 1 shows the dress of chip in capacitance coupling plasma processing system according to an embodiment of the invention Carry.Described capacitance coupling plasma processing system include for process plasma room 114, controller 122, radio frequency (RF) Source 124, pump 126 and one or more gas source 128.In some embodiments, described room can have one or more couplings Close the RF source of Top electrode.Described room 114 includes the chuck 104 (example for supporting pending substrate 102 (also referred to as chip) As electrostatic chuck) and edge ring 106.In some embodiments, described room 114 may also comprise for by plasma confinement Confinement ring 138 indoors and locular wall lid 136.
Fig. 1 shows and passes through the substrate 102 that transferring arm 108 is passed to room.During transmitting, confinement ring 138 is upwards Mobile, so that the gap that this transferring arm can be passed through on the side of room is entered to enter the room in 114.In the operation of room, reduce constraint Ring 138, thus confinement ring 138 covers locular wall lid 136, to avoid and Plasma contact.
In one embodiment, transferring arm 108 includes range sensor 112, range sensor 112 be used for measurement from away from Arrive with a distance from remote surface without contact remote surface from sensor 112.In other words, this range sensor can use optics Equipment, acoustic equipment or radio measure the distance of remote surface.
Some in indoor part are consumable parts, they due to the etching in room or other impacts of processing, one After the operation of the hour of fixed number amount, because the degeneration of part, and have to be replaced.For example, edge ring 106, confinement ring 138th, locular wall lid 136 is the example of consumable part, but it is also easily to be lost and As time goes on need that other rooms may include other Part to be replaced.Abrasion in embodiment reference measure edge ring 106 presented herein is described, but institute's body Existing principle can be used for measuring the abrasion on any other consumable part indoors.
In one embodiment, it is defined as the top surface of this edge ring 106 and the top table of chuck 104 apart from d110 Vertical dimension between face, that is, apart from d110 as putting down that the top surface in the top surface by edge ring 106 and chuck 104 limits Vertical dimension between face is measuring.Generally, in the operating process of room, chuck is covered by substrate 102, so chuck 104 Top surface generally will not change, therefore, passage in time, changed due to the abrasion of edge ring apart from d.
RF source 124 may include multiple RF sources or can produce the multiple of the RF signal from about 100kHz to about 300MHz The single RF source of frequency.For example, some RF signals have the frequency to about 60MHz for the about 27MHz.RF signal can have about RF power between 50w and about 10kw.For example, between about 100w and about 1500w.RF source 124 can produce pulse or non- Pulsed RF signal.
Controller 122 includes the process of plasma process system that communicates with plasma process system, is monitored and controlled Device, memorizer, software logic, hardware logic and input and output subsystem.Controller 122 also includes one or more formula, Described formula includes many for different operating parameters (such as voltage, electric current, frequency, pressure, flow velocity, power, temperature etc.) Individual setting value is for operating plasma process system.In one embodiment, controller 122 is configured to be based on and passes through The distance parameter that range sensor 112 obtains determines the abrasion on consumable part.By more As time goes on obtain Distance measure, described controller can determine abrasion on the part.For example, the distance of the isolated edge ring 106 recording Increase and will imply that this edge ring 106 top is just worn.Therefore, when the abrasion in edge ring 106 exceedes predetermined threshold, control Device processed to change edge ring 106 by arranging alarm.
Room 114 also includes Top electrode 116.In operation, Top electrode 116 is normally grounded but can be biased or be coupled to second RF source (not shown).RF source 124 provides RF signal to chuck 104 and gas source 128 is by desired one kind/multiple places qi-regulating Body is injected in room 114.Then plasma 120 is formed between Top electrode 116 and chuck 104.Plasma 120 can by with In the etching surface of substrate 102 or so that the deposit on the different inner surfacies being formed at room 114 is volatilized.
In some implementations, controller is a part for system, and this system can be a part for examples detailed above.This The system of kind can include semiconductor processing equipment, and it includes one or more handling implements, one or more process chamber, is used for locating One or more platforms of reason and/or specific process assembly (wafer base, air flow system etc.).These systems can be used for Control the electronic device integration of their operations before and after, during processing semiconductor wafer or substrate.Electronic device It is properly termed as " controller ", this controller can control various parts or the subassembly of one or more systems.Will according to processing Ask and/or system type, controller can be programmed to control any technique disclosed herein, and including controlling, process gas is defeated Send, temperature setting (for example, heat and/or cool down), pressure setting, vacuum setting, power setting, radio frequency (RF) generator set Put, the setting of RF match circuit, frequency configuration, flow velocity setting, fluid conveying setting, position and operation setting, chip transfer turnover Instrument and other transfer tools and/or the load lock being connected with concrete system or being connected by interface.
More broadly, controller can be defined as receiving instruction, issues instruction, control operation, enable clean operation, enable The electronic device with various integrated circuits, logic, memorizer and/or software of end points measurement etc..Integrated circuit can wrap Include the chip of form of firmware of storage program instruction, digital signal processor (DSP), be defined as special IC (ASIC) Chip and/or the microcontroller of one or more microprocessors or execute program instructions (for example, software).Programmed instruction can be In the form of various being separately provided, (or program file) is transferred to the instruction of controller, and this setting defines in semiconductor wafer Or the operating parameter in system or for semiconductor wafer or system execution particular procedure.In some embodiments, operation ginseng Number can be by process engineer define for prepare one or more (kind) layer of chip, material, metal, oxide, One of formula (recipe) of one or more process steps is completed during silicon, silicon dioxide, surface, circuit and/or tube core Point.
In some implementations, controller can be with the system integration, couple or perhaps pass through network connection system Or the part of the computer of combinations thereof or couple with this computer.For example, controller can in " high in the clouds " or Fab host computer system all or part of, they can allow remotely access chip process.Computer can enable to system Remote access manufactures the current process of operation to monitor, the inspection history manufacturing operation in the past, checks multiple manufacture operations Trend or performance standard, change currently processed parameter, and setting process step is to follow current process or to start new work Skill.In some instances, remote computer (for example, server) can provide a system to technical recipe by network, and network can To include local network or the Internet.Remote computer can include allowing user circle of input or program parameters and/or setting Then face, this parameter and/or setting are transferred to system from remote computer.In some instances, controller receiving data form Instruction, this instruction indicates the parameter of each process step that will execute in one or more during the operations.It should be appreciated that ginseng Number can be for the technology type that will execute and tool types, and controller is configured to connect or controls this tool types. Therefore, as described above, controller can be for example distributed, these discrete controls by including one or more discrete controllers Device processed passes through network connection together and towards common target (for example, process as described herein and control) work.For The example of the distributed director of these purposes can be and combine to control the one or more remotely integrated electricity of chamber processes The one or more integrated circuits on room that road (for example, the part in plateau levels or as remote computer) communicates.
Under conditions of non-limiting, the system of example can include plasma etch chamber or module, settling chamber or mould Block, rotary-cleaning room or module, metal plating room or module, cleaning room or module, Chamfer Edge etching chamber or module, physics gas Mutually deposition (PVD) room or module, chemical vapor deposition (CVD) room or module, ald (ALD) room or module, atomic layer Etching (ALE) room or module, ion implantation chamber or module, tracking room or module and the preparation in semiconductor wafer and/or system Any other semiconductor processing system that can associate in making or use.
As described above, the one or more processing steps that will be executed according to instrument, controller can with one or more Other instrument circuit or module, other tool assemblies, tool kit, other tools interfaces, adjacent instrument, adjacent instrument, Instrument in whole factory, main frame, another controller or the container of chip is being to and from semiconductor fabrication factory In tool location and/or load port materials handling used in instrument communications.
Fig. 2A is the detailed side view of the marginal portion of the chip according to an embodiment.Edge ring 106 surrounds chuck 104.In one embodiment, the part on the surface of edge ring 106 extends below the edge of substrate 102, but at other In embodiment, edge ring 106 can be close to substrate 102 in the case of not support substrate 102 and arrange.Because substrate 102 by Chuck 104 supports, so RF power is driven through chuck and enters in chip.
During etch processes, etch by-product deposition is on the inner surface of room 114.Etch byproducts may include polymer Residue, titanium and other metallic compounds and silicon compound.Etch byproducts can be deposited on the plasma 120 in room 114 On any surface that can spread of processing gas material of dissociation, including edge ring 106 and plasma processing chamber other in Surface.Additionally, As time goes on, some parts of room can be corroded due to etch processes and (for example reduce edge ring 106 Thickness).
Fig. 2A shows how substantially coplanar the top surface of the top surface of edge ring 106 and substrate 102 during operation is. Therefore, plasma is contacted with the uniform outer surface covering substrate and edge ring at the bottom of room.Because having on a surface Seriality, so plasma is uniform in the whole surface of substrate, thus lead to the uniform process to substrate.
Fig. 2 B according to an embodiment show grade on the chip being led to due to the corrosion of edge ring top surface from The shortage of daughter uniformity.In some rooms, there are some critical components, the determination of these parts must be opened in room and can Before the part replacement of abrasion, the exercisable time quantum in this room.One of these critical components are edge ring 106.For example, some Criterion is pointed out, generally, after room operates 300 hours, edge ring wear and must being replaced.Sometimes, room different products, Operate in the mixing of different types of etch process etc., this may affect consumable part before consumable part must be replaced How long will continue.Some Administrators change the operating time number of cup in consumable part, but regardless of consumable part is actually Whether it has been worn.
Fig. 2 B shows edge ring 106, and it continue for a very long time in operation.Compared to shown in Fig. 2A In the case of, the top surface of edge ring 106 is relatively low.In fig. 2b, because the abrasion on the top surface of this edge ring, edge ring The distance between 106 top surface and the top surface of chuck d 110 has already decreased to about half.
Now, the top surface of the top surface of substrate 102 and described edge ring is non-coplanar.Therefore, produce the room of plasma Basal surface be uneven, lead on the surface of chip lack uniformity, particularly plasma discontinuity occur Chip edge.Therefore, the edge of chip is not appropriately processed, and all or part of chip will not be according to rule Model is processed.
With its be conservatively normally based on the worst situation be arranged on change part cup number of operating hours, not if any Measure the abrasion on associated components (that is, erosion rate) sharply and only just open room in imperative.Open room to carry out clearly Clean is expensive operation, as it means that semiconductor manufacturing facility is shut down, and because room will experience complete cleaning (example As wet cleaning).Additionally, confirmation may must be adjusted again in room, this process may need up to one day or two days, wherein machine Device cannot operate.If the time that machine is operable between cleaning can be extended, this will lead to performance to significantly improve And provide for the investment of semiconductor manufacturing facility and preferably return.
Fig. 2 C shows when loading chip according to an embodiment, from range sensor to the distance of described edge ring Measurement.In one embodiment, range sensor 112 (here is also referred to as range measurement probe) is installed in transferring arm On 108.When transferring arm passes in and out room 108 and moves, from described range sensor to the distance of the part of described room or multiple part Position that is measured and being associated with probe, to produce the surface profile of measured part.
By monitoring surface profile, the wear rate of consumable part can be determined near real-time.In one embodiment, Range sensor is optical distance measurement device, such as confocal color measuring system (a confocal chromatic Measurement system) or low coherence interferometric measuring means.These equipment are sufficiently small, and have detection change of interest Resolution required for changing, can be operated in a vacuum, and can be measured the distance of electric conductor and electrical insulator.? In another embodiment, electric capacity range measurement probe may be suitable for some applications to measure metal parts or to be arranged on metal The abrasion of the dielectric material of part.
For lifting the example of range sensor, in the case of confocal measurement, polychrome white light is by poly-lens optical system Focus on target surface.Lens are arranged such that described white light is dispersed in monochromatic light by controlling aberration.Pass through Factory calibrated, the specific range to target is distributed to each wavelength.It is delivered to by confocal pinhole from the light of target surface reflection On the spectrogrph of detection and process spectral change.
When transferring arm 108 gets in, transferring arm 108 passes through very close to edge ring in the surface of edge ring. In one embodiment, when range sensor 202 passes through above edge ring 106, obtain on range sensor 112 and side The distance between the top surface of edge ring 106 d1202 one or more measured values.
In one embodiment, the accurate location of transferring arm 108 known by controller, so by As time goes on Follow the trail of apart from d1202, the change of the position of the top surface of edge ring 106 can be identified.In this way, edge can be estimated The abrasion of ring 106.
In one embodiment, when this edge ring is initially placed in room, measurement distance d1.Afterwards, apart from d1Quilt Periodically measurement and with original d1Relatively.As initial d1With current d1Measured value between difference be in predetermined threshold Value t1When above, then when being to change edge ring.Therefore, by identifying d1202 change, with regard to edge ring wear to assorted The clear pictures of degree can obtain.
In one embodiment, when transfer arm just moves in edge ring, obtain distance measure, but at another In embodiment, transferring arm stops above edge ring, and when transfer arm is static, obtains distance measure.
It should be noted that one of limitation of selected range sensor is, range sensor ought be loaded in transferring arm When allow chip enter the gap entered the room it is necessary to fit through, and range sensor allows for avoiding hitting or damage any room In assembly.
Fig. 2 D according to an embodiment show when load chip when, the top surface from range sensor to chuck away from From measured value.In one embodiment, range sensor 112 obtains from the top surface of range sensor 112 to chuck Apart from d2204 the second measured value.Then, the vertical dimension d quilt between the top plane of edge ring 106 and the top surface of chuck It is calculated as d2-d1.As time goes on the top surface of chuck 104 does not significantly change, because while being in operating room, But substrate covers chuck 104.
In one embodiment, in order to measure the abrasion of edge ring 106, in the top surface of described edge ring and chuck The passage in time of vertical dimension d between top surface is monitored.Because chuck does not change, so this chuck is used as Datum mark is to measure the change of edge ring 106.By using chuck as benchmark, led to due to the motion of transferring arm to side The change of the measured value of the distance of edge ring is minimized.
In another embodiment, when edge ring is initially placed in room, measurement distance d.Apart from d by periodically Ground measurement is simultaneously compared with original d.Difference between the measured value of initial d and current d is more than predetermined threshold t2When, then When being to change edge ring.Therefore, by identifying d1110 change, clear to what degree with regard to edge ring wear Photo can obtain.
In one embodiment, the distance between top of transferring arm and edge ring can be in the model from 2mm to 5mm In enclosing, but other values are also feasible.In another embodiment, the top window of room passage in time also meets with abrasion, And the range sensor that identical principle can be applicable to measure the distance at top of room by setting measures this abrasion.From The distance of top window to transfer arm can be in the range of 5 inches to 6 inches, but other values are also feasible.Therefore, must Must select can accurately measurement distance within the range range sensor.
Additionally, it should be noted that the embodiment being given includes carrying out range measurement when substrate is loaded on transferring arm, But can also measure in the case that substrate is not installed on transferring arm.In this way, if range sensor It is the lower section in the position loading substrate, then substrate without prejudice to measures the distance at the top of room.
Fig. 3 describes typical semiconductor technology cluster topology, which show and is connected with vacuum transfer module 338 (VTM) Multiple modules.The delivery module device " transmitting " chip between multiple storage devices and processing module can be referred to as " collection Group's tool architecture " system.Sealed chamber 330 (also referred to as load lock or delivery module) is displayed in VTM 338, VTM 338 With four processing modules 320a-320d, four processing modules 320a-320d can be by single optimization to execute various manufacture works Skill.For example, processing module 320a, 320b, 320c, 320d can be implemented to perform transformer coupled plasma (TCP) lining End eclipse quarter, layer deposition and/or sputtering.When normally talking about with regard to sealed chamber 330 or processing module 320a, term " station " has When be used for referring to sealed chamber or processing module.Each station has the little face 336 (facet 336) being connected station with VTM 338.Every Inside individual little face, sensor is used for detecting substrate 102 passing through when passing in and out each station.
Substrate 102 is transmitted between each station by mechanical hand 322.In one embodiment, mechanical hand 322 has one Arm, and in another embodiment, mechanical hand 322 has two arms, wherein each arm has end effector 324 to pick up crystalline substance Piece is for transport.In air delivery module (ATM) 340, front end robot 332 is used for from load port module (LPM) 342 In wafer case or front-open wafer box (FOUP) 334 transmission chip 326 arrive sealed chamber 330.Aligner in ATM 340 344 are used for aligning wafer.
It should be noted that the computer controlling chip motion can be local for aggregated structure, or it is permissible It is located in manufacturer somewhere, or in remote location, and by network connection to aggregated structure.
Fig. 4 A shows the transferring arm with two end effectors and range sensor according to an embodiment.One In individual embodiment, the transferring arm 402 with two end effectors 404a, 404b includes being coupled in described end effector The range sensor 408 of.In one embodiment, the profile that range sensor is located under end effector is surveyed Amount instrument (profilometer) is it means that when loading chip, range sensor 408 will be below chip.
In another embodiment, range sensor is the amount changing light depth of focus from the teeth outwards and checking reflected light Confocal colour system system.In another embodiment, range sensor is camera, and it may be positioned so that the table being pointing directly at lower section Face, and measure the amount of the light from source reflection in range sensor.In another embodiment, camera can be at an angle of Ground is placed, and the amount of the light being reflected changes according to the distance on the surface from the light of sensor with reflection.
Range sensor 408 is connected to controller by wire 406, and wherein wire 406 is included for range sensor Power, and data cube computation is with transmission data.
In one embodiment, one of station of VTM can be used to storage sensor.When measurement is desired, Transferring arm slave station loads sensor, and then carry sensors go successively to room to measure.In another embodiment, away from It is either permanently mounted end effector from sensor, and can measure whenever loading from room or during unloading wafer.
Fig. 4 B shows the transferring arm with single end executor and range sensor according to an embodiment.Machine Tool arm 422 includes the pivotal point 420 connecting to robot manipulator structure, so that mechanical arm 422 can be around pivoting point 420 pivot Turn.In one embodiment, one or more vacuum transducers 438 determine crystalline substance using the swabbing effect on vacuum transducer When piece has been properly loaded on end effector.
Range sensor 434 be permanently placed under end effector it means that when load substrate when, Distance-sensing Device 434 is located at below substrate.When transferring arm enters and enters the room middle, range sensor 434 is advanced in edge ring and on chuck Pass through, as discussed previously, and the top surface with edge ring and/or the measurement with the distance of the top surface of chuck can be entered OK.
In other embodiments, range sensor may be located at the other positions below end effector.For example, distance Sensor 434 may be located on the right side of end effector or the finger on the left side.In another embodiment, described end is held Row device can be located at below vacuum transducer 438, but any other position is possible, as long as range sensor fits through seam Gap gets in and does not contact any other part of interior.
In yet, range sensor can be installed on the top surface of end effector, but when away from From when sensor is above edge ring, chip unloaded on end effector, and end effector be introduced in indoor with Measure when not loading chip.
Fig. 5 A is the transferring arm 108 with the range sensor being clipped on end effector according to an embodiment Bottom view.In one embodiment, range sensor 502 is clipped on one of side of end effector.At another In embodiment (not shown), this range sensor can be incorporated in end effector itself, and range sensor have low Profile, to keep seeming non-mounting distance sensor as close possible to the profile of end effector so that being equal to.
In one embodiment, range sensor comprises battery, and it can be rechargeable battery, and comprises wireless Communication function is so that transmission measurement data is to described controller.So, it is no need for wired connection range sensor to transmit Information or power to range sensor.
In another embodiment, by measurement the color change on the wall of room come the erosion on the wall of measuring chamber or Abrasion.Deposition film can be very thin, and therefore accurately the thickness of measurement deposition film is probably difficult.However, by measuring wall Color change it may be possible to determine wall when need change or clean.
Fig. 5 B shows the wafer-like device with embedded range sensor according to an embodiment.Implement at one In mode, range sensor 506 is installed in the structure up to end effector looking like chip, i.e. range sensor 506 It is embedded in wafer-like structure 504.In this way, existing delivery arm 108 it is unnecessary to carry out range measurement and carries out Modification.
When measuring every time, end effector loads wafer-like structure 504 and is introduced in room.There is no need will This structure is loaded on chuck, and therefore, after carrying out one or more measurements, transferring arm leaves room unloading wafer shape structure.
In one embodiment, wafer-like structure is stored in the relief area in wafer station, and end effector Load it from relief area.In another embodiment, wafer-like structure can be stored in the airtight indoor gap of transmission One in.
According to an embodiment, Fig. 6 shows that the vertical dimension between edge ring and the top surface of chuck pushes away in time The change moving.It should be noted that when only measure isolated edge ring apart from when, this chart seems and a phase in figure 6 Seemingly, but replace the relative distance between measurement chuck and edge ring, this chart by display distance sensor and described edge ring it Between distance.
First, after new edge ring being placed indoors, vertical dimension d0First measurement in time t0Carry out.Then, periodically Measurement is to check the value apart from d.With the operating time accumulation of room, edge ring will continue by exposure to the plasma in room Continue the erosion on its top surface.Therefore, will be gradually reduced apart from d.In one embodiment, edge ring has 3 to 4 millimeters Width, but other value is also possible.
When reaching predetermined threshold d apart from dreplWhen, then the operation in room is affected by the heterogeneity in Waffer edge Time is up for change edge ring before.Erosion ratio is probably or may not be linear, is specifically dependent upon this chamber interior and carries out Different process because some technique can quickly corrode edge ring compared to other techniques.
As previously discussed, As time goes on edge ring weares and teares, but chuck does not have, so metering edges ring The change apart from d of the vertical dimension between top surface and the top surface of chuck is owing to the erosion in edge ring.
Same principle presented hereinbefore can be used for measuring the deposit on consumable part.However, process is contrary, I.e. As time goes on, the distance at the top from the top of edge ring to chuck can be increased due to the deposition this edge ring Greatly.Definition accumulation thing threshold value, it is converted into distance threshold, and when d is more than distance threshold, then due to the mistake in this edge ring Time is up for the needs replacing spent deposition and lead to or cleaning edge ring.
Fig. 7 is the method according to an embodiment for judging the abrasion of the consumable part in semiconductor processing device Flow chart.Although each operation in this flow chart is presented successively and is described, one ordinarily skilled artisan will understand that, Some or all in described operation can be with different order execution, combined or omitted or be executed in parallel.
As discussed above, embodiment utilizes the feedback of near real-time to execute the in site measurement of consumable part abrasion.This The method of kind has advantage than the typical method being used, and typical method is based only on the time or by observing crystalline substance afterwards The process shifts (process shift) of piece detecting step and the end of bimetry.Original position measurement in real time can be used for prediction and disappears The life-span of consumption part is to carry out predictable maintenance.It can be potentially used together with feedback algorithm with based on component wear Carry out technique adjustment, to improve the repeatability of wafer to wafer (wafer to wafer), elongate member life-span and raising life The availability of product system.
Operation 702 in, substrate is loaded on transferring arm in semiconductor manufacturing room, wherein said transferring arm include away from From sensor.From operation 702 beginning, the method proceeds to operation 704, with when transferring arm is advanced adjacent to consumable part utilization away from With a distance from first on surface from range sensor to consumable part for the sensor measurement.In the operating process of room, consumption portion Part is through frayed.
From operation 704 beginning, the method proceeds to operation 706, with when transferring arm is advanced adjacent to reference component utilization away from Second distance on surface from range sensor to reference component for the sensor measurement.In the operating process of room, reference section Part is without frayed.
From operation 706 beginning, the method proceeds to operation 708, wherein the wear extent of consumable part be based on the first distance with Second distance determines.In one embodiment, abrasion determines, this difference is based on the difference between second distance and the first distance Vertical dimension between the top surface of consumable part and the top surface of reference component.Passage in time, monitors these top surfaces The distance between, to determine when consumable part is worn, and need to change.In one embodiment, consumption portion Part is edge ring, and reference component is arranged to keep the chuck of substrate during processing.
Fig. 8 is the rough schematic view of the computer system 800 for realizing embodiment of the present disclosure.It should be understood that It is that method described herein can be executed together with digital processing system, for example, hold together with conventional general-purpose computing system OK.The special-purpose computer being designed or programmed into execution only one function can use in replacement scheme.Computer system includes CPU (CPU) 804, it is coupled to random access memory (RAM) 828, read only memory by bus 810 (ROM) 812 and mass-memory unit 814.System controller program 808 resides in RAM806 but it is also possible to reside in big In capacity storage device 814.
Mass-memory unit 814 represents lasting data storage device, such as floppy disk or fixed disk drive, its It can be Local or Remote.Network interface 830 provides connection via network 832 it is allowed to communicate with miscellaneous equipment.But it should It is understood by, CPU 804 may be embodied in general processor, application specific processor or dedicated programmed logical device.Input/output (I/O) interface provides the communication from different ancillary equipment, and by bus 810 and CPU 804, RAM 828, ROM 812 Connect with mass-memory unit 814.Ancillary equipment example includes display 818, keyboard 822, cursor control 824, may move Media device 834, etc..
Display 818 is display configured to user interface described herein.Keyboard 822, cursor control 824, can be removed Media device 834 and other ancillary equipment are coupled to I/O interface 820, to transmit letter to CPU 804 in command selection Breath.It should be understood that the data of the external equipment that comes in and goes out can be transmitted by I/O interface 820.Embodiment can also be distributed Implement in computing environment, in this distributed computing environment, task is by the remote processing devices by wired or wireless network connection Execution.
Embodiment can be executed together with various computer system configurations, and described various computer system configurations include hand-held Formula equipment, microprocessor system, based on microprocessor or programmable consumption electronic product, minicomputer, mass computing Machine etc..These embodiments can also be implemented in a distributed computing environment, and in this distributed computing environment, task is by passing through The remote processing devices execution of network connection.
In view of above-mentioned embodiment it should be appreciated that embodiment can be using being related to be stored in computer system In data various computer implemented operation.These operations are that those need physical quantity is carried out with the operation of physical manipulation. The described herein any operation constituting an embodiment part is useful machine operation.Embodiment further relates to for holding The equipment of these operations of row or device.This device can be specifically constructed for required purpose, such as special-purpose computer.When When being defined as special-purpose computer, this computer can also execute and other process of a non-dedicated purpose part, program are held Row or routine, remain able to operation simultaneously and are used for special purpose.Alternately, operation can be executed by general purpose computer, and this leads to With computer by being stored in computer storage, cache or the one or more computer programs choosing obtaining by network Activate to selecting property or configure.When data is obtained by network, data can also be by other computers on network at Reason, such as cloud computing resources.
One or more embodiments can also be configured to computer-readable code on a computer-readable medium.This meter Calculation machine computer-readable recording medium be can data storage any data storage device, these data can subsequently read by computer system.Meter The example of calculation machine computer-readable recording medium include hard disk drive, network attached storage (NAS), read only memory, random access memory, CD-ROM, CD-R, CD-RW, tape and other optics and non-optical data storage device.Computer-readable medium may include It is distributed in the computer-readable tangible medium in the computer system of network coupling, thus computer-readable code is deposited by distributed Storage and execution.
Although the operation of the method is described with particular order, but it is to be understood that, other house-keepings can be in behaviour Execute between work, or operation can be adapted so that they can occur in the slightly different moment, or can be in system Middle distribution, thus allow to process operation generation in the different interval being associated with process, as long as the process of overlap operation is with institute's phase The mode hoped executes.
Although being described in some details to aforementioned embodiments for clearness of understanding, show And be clear to, some changing and modifications can be implemented within the scope of the appended claims.Therefore, these embodiments should be recognized For being illustrative and not restrictive, and embodiment is not limited to details given herein, but can be in institute Modify in the scope of attached claim and equivalent.

Claims (10)

1. a kind of room for processing substrate, this room includes:
Reference component in room, described reference component is in the operating process of described room without frayed;
Consumable part in described room, described consumable part is in the operating process of described room through frayed;
For transmitting described substrate to described indoor transferring arm;
Sensor on described transferring arm, wherein said sensor is configured as described transferring arm adjacent to described consumable part During traveling, from described sensor to first distance on the surface of described consumable part, wherein said sensor is configured as measurement Described transferring arm adjacent to described reference component advance when measurement from described sensor to the surface of described reference component second away from From;And
Controller, it is configured to determine the abrasion of described consumable part based on described first distance and described second distance Amount.
2. room according to claim 1, wherein, described controller calculate described consumable part the plane on described surface and The distance between plane on described surface of described reference component is poor, and described range difference deducts described equal to described first distance Two distances.
3. room according to claim 2, wherein, from when initially installing described consumable part, described controller is followed the tracks of The change of described range difference over time.
4. room according to claim 3, wherein, described controller determines when described range difference is with reference to described in initial installation When the described range difference recording during consumable part changes scheduled volume, described consumable part must be changed.
5. room according to claim 1, wherein, in the case of need not opening described room, determines on described consumable part Wear extent, wherein said sensor is non-contact type distance measuring apparatus.
6. room according to claim 1, wherein, described controller calculate described consumable part the plane on described surface and The distance between plane on described surface of described reference component is poor, and described range difference deducts described equal to described first distance Two distances, wherein, described controller determines the change of technological parameter, to start institute based on when initially installing described consumable part State the change of the range difference over time abrasion to compensate described consumable part.
7. room according to claim 1, wherein, described sensor is coupled to the end effector of described transferring arm.
8. room according to claim 1, wherein said sensor is depth camera or confocal color measurement apparatus or low Coherence's interferometric measuring means or one of electric capacity range sensor or color change detector.
9. a kind of method of the abrasion for determining consumable part, methods described includes:
Load a substrate on the transferring arm in semiconductor manufacturing room, described transferring arm includes sensor;
Utilize described sensor measurement from described sensor to described consumption portion when described transferring arm is advanced adjacent to consumable part First distance on the surface of part, described consumable part is in the operating process of described room through frayed;
Utilize described sensor measurement from described sensor to described reference section when described transferring arm is advanced adjacent to reference component The second distance on the surface of part, described reference component is in the operating process of described room without frayed;And
Determine the wear extent of described consumable part based on described first distance and described second distance.
10. a kind of room for processing substrate, this room includes:
Reference component in the chamber;
Consumable part in the chamber, described consumable part calculus deposits in the operating process of described room;
For transmitting described substrate to described indoor transferring arm;
Sensor on described transferring arm, wherein said sensor is configured as described transferring arm adjacent to described consumable part During traveling, from described sensor to first distance on the surface of described consumable part, wherein said sensor is configured as measurement Described transferring arm adjacent to described reference component advance when measurement from described sensor to the surface of described reference component second away from From;And
Controller, it is configured to determine the deposition on described consumable part based on described first distance and described second distance The amount of thing.
CN201610701950.6A 2015-08-21 2016-08-22 The abrasion detection of consumable part in semiconductor manufacturing facility Active CN106468541B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562208499P 2015-08-21 2015-08-21
US62/208,499 2015-08-21
US14/846,635 2015-09-04
US14/846,635 US10014198B2 (en) 2015-08-21 2015-09-04 Wear detection of consumable part in semiconductor manufacturing equipment

Publications (2)

Publication Number Publication Date
CN106468541A true CN106468541A (en) 2017-03-01
CN106468541B CN106468541B (en) 2019-07-05

Family

ID=58157592

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610701950.6A Active CN106468541B (en) 2015-08-21 2016-08-22 The abrasion detection of consumable part in semiconductor manufacturing facility

Country Status (5)

Country Link
US (1) US10014198B2 (en)
JP (1) JP6598745B2 (en)
KR (1) KR102546407B1 (en)
CN (1) CN106468541B (en)
TW (1) TWI709173B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108375608A (en) * 2018-03-12 2018-08-07 昆山国显光电有限公司 Substrate detection apparatus
CN108927261A (en) * 2017-05-25 2018-12-04 郑州洁普智能环保技术有限公司 A kind of impact breaker
CN109283184A (en) * 2018-09-03 2019-01-29 浙江大学 A kind of beauty defects measurement method based on spectral confocal sensor
CN109839076A (en) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 Wafer process chamber and device and method for checking wafer process chamber
CN109841536A (en) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 Edge compensation system, wafer carrier system and wafer installation method
TWI759960B (en) * 2020-11-12 2022-04-01 南韓商自適應等離子體技術公司 Apparatus for monitoring an exchanging process of a semiconductor component and a method for the same
CN114466728A (en) * 2019-07-26 2022-05-10 朗姆研究公司 Integrated adaptive positioning system and routines for automated wafer handling robot teaching and health check

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
KR20180099776A (en) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 Wafer edge ring lifting solution
US10190865B2 (en) * 2016-01-27 2019-01-29 Lam Research Corporation Verifying end effector flatness using electrical continuity
US10521774B2 (en) * 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
US20180061696A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
WO2019112903A1 (en) * 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
JP2019201125A (en) * 2018-05-17 2019-11-21 三菱電機株式会社 Wafer grinding device and wafer grinding method
KR102433436B1 (en) 2018-07-04 2022-08-17 삼성전자주식회사 Substrate processing system, edge rign inspection method in the substrate processing system, and disk-type vision sensor performing for the same
JP6999241B2 (en) * 2018-07-25 2022-01-18 株式会社ディスコ Plasma etching equipment
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US20200194296A1 (en) * 2018-12-12 2020-06-18 Tokyo Electron Limited System of processing substrate, transfer method, transfer program, and holder
JP7357453B2 (en) 2019-03-07 2023-10-06 東京エレクトロン株式会社 Substrate processing system and substrate transport method
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (en) 2019-06-26 2021-01-07 삼성전자주식회사 Sensor module and etching apparatus having the same
KR102232666B1 (en) * 2019-06-27 2021-03-30 세메스 주식회사 Apparatus for treating substrate and method for detecting condition of substrate component
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US20230011537A1 (en) * 2019-12-19 2023-01-12 Lam Research Corporation Encapsulated rfid in consumable chamber parts
US20210305027A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Plasma processing apparatus and wear amount measurement method
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
JP6989980B2 (en) * 2020-06-15 2022-01-12 アダプティブ プラズマ テクノロジー コーポレーション Parts sorting device for semiconductor process and parts sorting method by this
KR102349160B1 (en) * 2020-07-03 2022-01-12 한국전력공사 Apparatus for evaluating abrasion of disc cutter, System for evaluating abrasion of disc cutter and Driving method thereof
JP2022042122A (en) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 Substrate processing system and sate monitoring method
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR102585286B1 (en) * 2020-10-15 2023-10-05 세메스 주식회사 Apparatus for treating substrate and mesuring method of consumable component
TWI759913B (en) * 2020-10-16 2022-04-01 天虹科技股份有限公司 Detection system and method of film thickness of atomic layer deposition
JP7153362B2 (en) * 2020-12-08 2022-10-14 アダプティブ プラズマ テクノロジー コーポレーション Device for monitoring replacement of semiconductor parts and method for monitoring replacement of parts by the same
KR102591723B1 (en) * 2020-12-09 2023-10-20 세메스 주식회사 Substrate treating system and auto teaching system including the same
JP2022174626A (en) 2021-05-11 2022-11-24 東京エレクトロン株式会社 Substrate processing system and method for estimating height of annular member
KR102632552B1 (en) 2021-07-23 2024-02-02 한국표준과학연구원 Sensor having plasma diagnosis function and dielectric thickness measurement function, process apparatus and process system having the same
US20230236569A1 (en) * 2022-01-25 2023-07-27 Applied Materials, Inc. Estimation of chamber component conditions using substrate measurements
JP7305076B1 (en) * 2022-09-01 2023-07-07 三菱電機株式会社 Data collection analysis system, measurement data collection unit, and data collection analysis method
DE102022209644B3 (en) * 2022-09-14 2024-02-01 Carl Zeiss Smt Gmbh Method for characterizing a shielding element of a particle beam device, means for characterizing the shielding element, a particle beam device and a corresponding computer program

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157698A1 (en) * 2005-01-14 2006-07-20 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing system, semiconductor device and method of manufacture
CN102235852A (en) * 2010-03-29 2011-11-09 东京毅力科创株式会社 Method for measuring wear rate
CN104103477A (en) * 2013-04-05 2014-10-15 朗姆研究公司 Internal plasma grid for semiconductor fabrication
CN104160496A (en) * 2011-09-09 2014-11-19 朗姆研究公司 Apparatus for treating surfaces of wafer-shaped articles
CN104517829A (en) * 2013-10-04 2015-04-15 朗姆研究公司 Tunable upper plasma-exclusion-zone ring for a bevel etcher

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009245988A (en) * 2008-03-28 2009-10-22 Tokyo Electron Ltd Plasma processing apparatus, chamber internal part, and method of detecting longevity of chamber internal part
US9296105B2 (en) * 2012-11-30 2016-03-29 Applied Materials Inc. Vibration-controlled substrate handling robots, systems, and methods
JP6231370B2 (en) 2013-12-16 2017-11-15 東京エレクトロン株式会社 Consumption amount measuring device, temperature measuring device, consumption amount measuring method, temperature measuring method, and substrate processing system
JP6383647B2 (en) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 Measuring system and measuring method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157698A1 (en) * 2005-01-14 2006-07-20 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing system, semiconductor device and method of manufacture
CN102235852A (en) * 2010-03-29 2011-11-09 东京毅力科创株式会社 Method for measuring wear rate
CN104160496A (en) * 2011-09-09 2014-11-19 朗姆研究公司 Apparatus for treating surfaces of wafer-shaped articles
CN104103477A (en) * 2013-04-05 2014-10-15 朗姆研究公司 Internal plasma grid for semiconductor fabrication
CN104517829A (en) * 2013-10-04 2015-04-15 朗姆研究公司 Tunable upper plasma-exclusion-zone ring for a bevel etcher

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108927261A (en) * 2017-05-25 2018-12-04 郑州洁普智能环保技术有限公司 A kind of impact breaker
CN109839076A (en) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 Wafer process chamber and device and method for checking wafer process chamber
CN109839076B (en) * 2017-11-28 2021-09-07 台湾积体电路制造股份有限公司 Wafer processing chamber and apparatus and method for inspecting wafer processing chamber
CN109841536A (en) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 Edge compensation system, wafer carrier system and wafer installation method
CN108375608A (en) * 2018-03-12 2018-08-07 昆山国显光电有限公司 Substrate detection apparatus
CN109283184A (en) * 2018-09-03 2019-01-29 浙江大学 A kind of beauty defects measurement method based on spectral confocal sensor
CN114466728A (en) * 2019-07-26 2022-05-10 朗姆研究公司 Integrated adaptive positioning system and routines for automated wafer handling robot teaching and health check
TWI759960B (en) * 2020-11-12 2022-04-01 南韓商自適應等離子體技術公司 Apparatus for monitoring an exchanging process of a semiconductor component and a method for the same

Also Published As

Publication number Publication date
US10014198B2 (en) 2018-07-03
TW201719749A (en) 2017-06-01
JP6598745B2 (en) 2019-10-30
CN106468541B (en) 2019-07-05
KR20170022907A (en) 2017-03-02
TWI709173B (en) 2020-11-01
JP2017050535A (en) 2017-03-09
KR102546407B1 (en) 2023-06-21
US20170053819A1 (en) 2017-02-23

Similar Documents

Publication Publication Date Title
CN106468541B (en) The abrasion detection of consumable part in semiconductor manufacturing facility
CN107689318B (en) Method and system for monitoring plasma processing system and process and tool control
TWI744342B (en) Wafer processing equipment having capacitive micro sensors
TW202126853A (en) Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
US9123582B2 (en) Methods of in-situ measurements of wafer bow
TW201735235A (en) Systems and methods for performing edge ring characterization
TW201834128A (en) Edge ring centering method using ring dynamic alignment data
US7490010B2 (en) Data collection method, substrate processing apparatus, and substrate processing system
TW202101654A (en) Fixture for automatic calibration of substrate transfer robot
TW202230062A (en) Autonomous substrate processing system
TW202123353A (en) Integrated hardware-software computer vision system for autonomous control and inspection of substrate processing systems
TW202217233A (en) Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
JP2023534596A (en) Integrated substrate measurement system for improved manufacturing process performance
JP5105399B2 (en) Data collection method, substrate processing apparatus, substrate processing system
US20230304150A1 (en) Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US20230011537A1 (en) Encapsulated rfid in consumable chamber parts
TW202200834A (en) System and method for monitoring semiconductor processes
US20240009856A1 (en) Collaborative robot system on a mobile cart with a chamber docking system
TW202330377A (en) Methods and mechanisms for coupling sensors to transfer chamber robot
TW202412143A (en) In-situ integrated wafer parameter detection system
TW202135205A (en) Systems and methods for controlling non-uniformity

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant