TWI782943B - 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法 - Google Patents

使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法 Download PDF

Info

Publication number
TWI782943B
TWI782943B TW107101366A TW107101366A TWI782943B TW I782943 B TWI782943 B TW I782943B TW 107101366 A TW107101366 A TW 107101366A TW 107101366 A TW107101366 A TW 107101366A TW I782943 B TWI782943 B TW I782943B
Authority
TW
Taiwan
Prior art keywords
temperature
model
substrate support
tces
responses
Prior art date
Application number
TW107101366A
Other languages
English (en)
Other versions
TW201841091A (zh
Inventor
張濤
豪爾赫 喬西 扎尼諾維奇
佛瑞德 依格萊
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201841091A publication Critical patent/TW201841091A/zh
Application granted granted Critical
Publication of TWI782943B publication Critical patent/TWI782943B/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1917Control of temperature characterised by the use of electric means using digital means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Resistance Heating (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Investigating Or Analyzing Materials Using Thermal Means (AREA)
  • Control Of Temperature (AREA)

Abstract

用於基板處理系統中的基板支撐件之溫度控制器包括儲存第一模型的記憶體,該第一模型係將設置在基板支撐件中之複數第一熱控制元件(TCE)的溫度與基板支撐件的第一溫度響應相關聯起來。第一溫度響應對應於基板支撐件的表面上的位置。溫度估計模組計算第一TCE的電阻、基於所計算的電阻判定第一TCE的溫度、以及使用儲存的第一模型與第一TCE的判定的溫度來估計基板支撐件的實際溫度響應。溫度控制器係配置成基於基板支撐件的實際溫度響應來控制第一TCE。

Description

使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法
本揭露內容關於基板處理系統,且更具體而言關於用以估計在基板處理系統中的基板支撐件的溫度之系統與方法。
本文提供的背景描述係針對概括性地呈現本揭露內容之背景的目的。目前所列名之發明人的工作成果(就本先前技術章節中所描述之範圍而言)、以及不可以其他方式適格為申請時之習知技術的描述內容之實施態樣,均不明示或暗示地承認為是相對本揭露內容的習知技術。
基板處理系統可用於執行蝕刻、沉積、及/或基板(例如半導體晶圓)的其他處理。可在基板上執行的例示性製程包括(但不限於):電漿增強化學氣相沉積(PECVD)製程、化學性增強電漿氣相沉積(CEPVD)製程、濺鍍物理氣相沉積(PVD)製程、離子佈植製程、及/或其他蝕刻(例如,化學蝕刻、電漿蝕刻、反應性離子蝕刻等等)、沉積、及清潔製程等。在基板處理系統的處理腔室中,可將基板設置在例如基座、靜電夾頭(ESC)等等之基板支撐件上。例如,在蝕刻期間,將包括一或更多前驅物的氣體混合物引進處理腔室中,並點燃電漿以蝕刻基板。
在製程步驟期間,系統的各種元件及基板本身的溫度可能有變化。這些溫度變化可能對所產生的基板產生不欲見的影響(例如,非均一的臨界尺寸)。溫度變化可在基板上產生期望的效果。例如,如果在蝕刻之前在基板中出現非均勻的情形,則溫度的空間控制及蝕刻製程可用以針對非均勻進行修正。據此,在處理期間,基板處理系統可實施用以估計各種元件及基板的溫度之各種系統與方法。
用於基板處理系統中的基板支撐件之溫度控制器包括儲存第一模型的記憶體,該第一模型係將設置在基板支撐件中之複數第一熱控制元件(TCE)的溫度與基板支撐件的第一溫度響應相關聯起來。第一溫度響應對應於基板支撐件的表面上的位置。溫度估計模組計算第一TCE的電阻,基於所計算的電阻判定第一TCE的溫度,以及使用儲存的第一模型與第一TCE的判定的溫度來估計基板支撐件的實際溫度響應。溫度控制器係配置成基於基板支撐件的實際溫度響應來控制第一TCE。
估計基板處理系統中的基板支撐件的溫度之方法包括儲存第一模型,該第一模型係將設置在基板支撐件中之複數第一熱控制元件(TCE)的溫度與基板支撐件的第一溫度響應相關聯起來。第一溫度響應對應於基板支撐件的表面上的位置。該方法進一步包括計算第一TCE的電阻,基於所計算的電阻判定第一TCE的溫度,使用儲存的第一模型與第一TCE的判定的溫度來估計基板支撐件的實際溫度響應,以及基於基板支撐件的實際溫度響應來控制第一TCE。
從詳細的實施方式、申請專利範圍,以及圖式,本揭露內容的實用性的進一步範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本揭露內容之範疇。
100:系統
102:處理腔室
104:上電極
106:靜電夾頭(ESC)
108:基板
109:噴淋頭
110:底板
112:加熱板
114:熱阻層
116:通道
120:RF產生系統
122:RF電壓產生器
124:匹配及配送網路
130:氣體輸送系統
132-1:氣體來源
132-2:氣體來源
132-N:氣體來源
132:氣體來源
134-1:閥
134-2:閥
134-N:閥
134:閥
136-1:質流控制器
136-2:質流控制器
136-N:質流控制器
136:質流控制器
140:歧管
142:溫度控制器
144:熱控制元件(TCE)
146:冷卻劑組件
150:閥
152:泵浦
160:系統控制器
170:機器手臂
172:負載鎖室
200:ESC
204:溫度控制器
208:連接件
212-1:巨觀TCE
212-2:巨觀TCE
212-3:巨觀TCE
212-4:巨觀TCE
212:巨觀TCE
216:微觀TCE
224:底板
228:RF電漿源
232:偏壓RF源
236-1:區
236-2:區
236-3:區
236-4:區
236:區
240:通道
244:熱阻層
248:陶瓷加熱板
252:第一層
256:第二層
300:溫度控制器
304:巨觀TCE控制器
308:微觀TCE控制器
312:記憶體
316:介面
320:溫度估計模組
324:輸入
328:感測器
400:溫度估計模組
404:模組
408:輸入
412:底板溫度
416:偏壓RF功率
420:TCP RF功率
424:電壓與電流測量值
428:功率輸入
432:TCP RF模組
436:偏壓RF模組
440:底板溫度模組
444:微觀TCE溫度模組
448:區溫度模組
452:電阻模組
456:電阻對溫度模組
460:加總節點
464:ESC溫度估計值
700:溫度響應
704:溫度
900:方法
904:方塊
908:方塊
912:方塊
916:方塊
920:方塊
924:方塊
928:方塊
932:方塊
936:方塊
940:方塊
944:方塊
從詳細的實施方式及隨附圖式,將能更完整地理解本揭露內容,其中:圖1為根據本揭露內容的原理之包括靜電夾頭之例示性基板處理系統的功能性方塊圖;圖2A為根據本揭露內容的原理之例示性靜電夾頭;圖2B說明根據本揭露內容的原理之例示性靜電夾頭的區與巨觀熱控制元件;圖2C說明根據本揭露內容的原理之例示性靜電夾頭的區與微觀熱控制元件;圖3為根據本揭露內容的原理之例示性溫度控制器;圖4為根據本揭露內容的原理之例示性溫度估計模組;圖5A說明根據本揭露內容的原理之針對在熱控制元件的複數溫度的各者測量的電壓與電流;圖5B說明根據本揭露內容的原理之針對在熱控制元件的複數溫度的各者而相對於測量的電壓之計算的電阻;圖6說明根據本揭露內容的原理之熱控制元件的電阻與溫度之間的關係; 圖7說明根據本揭露內容的原理之靜電夾頭的表面位置處的例示性溫度響應;圖8說明根據本揭露內容的原理之使用例示性模型來估計之靜電夾頭的溫度;及圖9說明根據本揭露內容的原理之例示性溫度估計方法的步驟。
在該等圖式中,參考數字可重複使用來標示相似及/或相同的元件。
在基板處理系統中,可在製程步驟期間控制例如靜電夾頭(ESC)之基板支撐件的溫度。例如,不同的製程及個別的步驟可能要求基板維持在不同的溫度下。ESC的接觸表面溫度可受到控制以將基板維持在期望溫度下。僅作為範例,ESC可包括加熱板(例如,陶瓷加熱板)。可將基板設置在加熱板上。據此,加熱板的溫度係受到控制以達成基板的期望溫度。
在製造製程中的變化可能造成在加熱板的特性以及加熱板的溫度控制的效能上的對應變化。例如,變化(即,非均勻性)可能包括但不限於:在加熱板的結構中之層的厚度及/或導熱性的局部變化、加工表面的平坦度的變化、及/或在加熱板內的各個熱控制元件(TCE)的特性的變化。這些非均勻性可能導致傳熱方面的局部差異(即,局部的溫度非均勻性),並因此導致基板溫度的非均勻性。
其他系統變化可能進一步影響溫度非均勻性。其他系統變化可能包括但不限於:在不同的基板處理腔室之間的變化、在製程步驟之間的變化(例 如,電漿步驟的出現、類型、量、持續時間等等)、腔室內部的溫度與ESC的溫度之間的差異、製程參數的變化(例如,功率、頻率等等)、個別晶圓之間的變化、及/或使用者輸入/限制的變化。
要在操作期間準確地控制及/或測量在基板處理腔室內(即,原位)的一些狀態可能是困難的。據此,基板處理系統可實施虛擬量測以估計基板處理腔室內的狀態。例如,虛擬量測系統及方法可實施將實際測量的狀態(例如,使用各自的感測器進行原位測量)關聯至其他狀態與特性之數學模型。
根據本揭露內容的原理之系統與方法實施虛擬量測以估計ESC的溫度(例如,ESC的表面溫度,其可對應於在ESC上處理的晶圓的溫度)。例如,一些基板處理系統可實施巨觀TCE與微觀TCE的組合,以補償ESC中的溫度非均勻性。在一例示性實施例中,包括一或複數區的ESC(例如,多區ESC)可包括針對加熱板之各個區之個別的巨觀TCE、以及分佈在整個加熱板中的複數微觀TCE。複數微觀TCE(其在此處可稱為「加熱器」)可個別地受到控制以補償在ESC的各個區中的溫度非均勻性。本揭露內容的系統與方法將ESC溫度模型化為微觀TCE的操作特性的函數。例如,根據本揭露內容的原理之微觀TCE可包含具有高熱靈敏度的材料(例如,鎢金屬合金)。
由於製程變化影響基板、ESC與基板處理系統的其他元件之間的關係(例如,底板溫度、供應至底板的功率等等),故以此方式,可更準確地估計在處理期間之基板的溫度。在一些範例中,可取消在ESC的各個區中之額外的溫度感測器。儘管就ESC溫度估計方面進行描述,但如此處所述之本揭露內容的原理亦可應用於估計其他基板處理變數,例如晶圓級偏壓RF電壓、蝕刻速率等等。
現參照圖1,顯示用以使用RF電漿執行蝕刻的例示性基板處理系統100。基板處理系統100包括處理腔室102,其圍繞基板處理腔室102的其他元件並容納RF電漿。基板處理腔室102包括上電極104及例如靜電夾頭(ESC)106之基板支撐件。在操作期間,基板108係設置在ESC 106上。
僅作為範例,上電極104可包括引導並配送製程氣體的噴淋頭109。噴淋頭109可包括一桿部,該桿部包括連接至處理腔室的頂部表面的一末端。在與該處理腔室的頂部表面隔開一位置之處,一基底部大致上為圓柱狀,並且從該桿部的相反末端徑向地朝外延伸。噴淋頭之基底部的面基板表面或面板包括複數孔洞,而製程氣體或沖洗氣體流動通過該複數孔洞。替代地,上電極104可包括傳導板,而製程氣體可以另一方式引導。
ESC 106包括作為下電極的傳導性底板110。底板110可支撐加熱板112,加熱板112可對應陶瓷多區加熱板。加熱板112與底板110之間可設置熱阻層114。底板110可包括一或更多冷卻劑通道116,其用以使冷卻劑流動通過底板110。
RF產生系統120產生並輸出RF電壓至上電極104及下電極(例如,ESC 106的底板110)中之一者。上電極104及底板110中之另一者可DC接地、AC接地、或浮接。僅作為範例,RF產生系統120可包括產生RF電壓的RF電壓產生器122,RF電壓透過匹配及配送網路124饋送至上電極104或底板110。在其他範例中,可感應地或遠端地產生電漿。
氣體輸送系統130包括一或更多氣體來源132-1、132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。氣體來源供應一或更多前驅物及其混合物。氣體來源亦可供應沖洗氣體。亦可使用經汽化的前驅物。氣體來 源132透過閥134-1、134-2、…、及134-N(統稱為閥134)及質流控制器136-1、136-2、…、及136-N(統稱為質流控制器136)連接至歧管140。歧管140之輸出係饋送至處理腔室102。僅作為範例,歧管140之輸出係饋送至噴淋頭109。
溫度控制器142可連接至設置在加熱板112中的複數熱控制元件(TCE)144。例如,TCE 144可包括(但不限於):個別的巨觀TCE,其對應到多區加熱板中之各個區;及/或微觀TCE之陣列,其設置在多區加熱板的複數區中,如圖2A及2B中進一步詳細描述。溫度控制器142可用於控制複數TCE 144,以控制ESC 106及基板108的溫度。
溫度控制器142可與冷卻劑組件146溝通,以控制通道116中的冷卻劑流量。例如,冷卻劑組件146可包括冷卻劑泵浦與貯存器。溫度控制器142操作冷卻劑組件146以選擇性地使冷卻劑流經通道116來冷卻ESC 106。
可使用閥150及泵浦152將反應物從處理腔室102中排空。可使用系統控制器160來控制基板處理系統100的元件。可使用機器手臂170將基板輸送至ESC 106上以及將基板從ESC 106上移開。例如,機器手臂170可在ESC 106與負載鎖室172之間傳送基板。儘管被顯示為單獨的控制器,溫度控制器142可實施於系統控制器160之內。溫度控制器142可進一步配置成實施一或更多模型以根據本揭露內容的原理來估計ESC 106的溫度。
現參照圖2A、2B、及2C,顯示例示性ESC 200。溫度控制器204經由一或更多電氣或通訊連接件208而與ESC 200溝通。例如,電氣連接件208可包括連接件,該等連接件係用於提供電壓/功率以控制巨觀TCE 212-1、212-2、212-3及212-4(統稱為巨觀TCE 212)及/或微觀TCE 216。連接件208可進一步包括用於接收反饋的連接件,例如:來自底板224(僅作為範例,來自底板感測器)的 溫度反饋、提供至微觀TCE 216之電壓及/或電流的測量值、指示自RF電漿源(例如,變壓耦合電漿源或TCP源)228提供之RF功率的反饋、指示從偏壓RF源232提供至ESC 200的偏壓RF功率之反饋,諸如此類。儘管如圖2B及2C中顯示的微觀TCE 216係與成圓形、同心配置的巨觀TCE 212對齊,可使用相對於巨觀TCE 212之微觀TCE 216的其他配置。
如所示,ESC 200為多區ESC,其包括區236-1、236-2、236-3、及236-4(通稱為區236)。雖然以四個同心的區236顯示,但在實施例中,ESC 200可包括一、二、三、或多於四個區236。可改變區236的形狀。例如,區236可設置為四象限或另一種網格狀配置。各個區236包括(僅作為範例)巨觀TCE 212中個別的一者。例如,底板224包括冷卻劑通道240、形成在底板224之上的熱阻層244、及形成在熱阻層244之上的多區陶瓷加熱板248。加熱板248可包括複數接合層,其包括如顯示於圖2B中之第一層252、及如顯示於圖2C中之第二層256。第一層252包括巨觀TCE 212,而第二層256包括複數微觀TCE 216。
溫度控制器204根據期望的設定點溫度來控制巨觀TCE 212及微觀TCE 216。例如,溫度控制器204可接收(例如,從如圖1所示之系統控制器160接收)對於一或更多區236的設定點溫度。僅作為範例,溫度控制器204可接收對於全部或若干的區236的相同設定點溫度、及/或對於各個區236的不同的個別設定點溫度。對於各個區236的設定點溫度可跨越不同製程地、及跨越各製程的不同步驟地變化。
溫度控制器204基於個別的設定點溫度及溫度反饋來控制針對各個區236的巨觀TCE 212。例如,溫度控制器204獨立地調整提供給巨觀TCE 212的各者的功率(例如,電流)以達到設定點溫度。巨觀TCE 212可各包括單一電 阻性線圈或其他結構,以圖2B之虛線示意地表現。據此,調整巨觀TCE 212中之一者影響整個個別的區236的溫度,且可能亦影響區236中之其他者。
另一方面,溫度控制器204可單獨地控制微觀TCE 216的各者,以局部地調整區236的溫度。例如,雖然各個微觀TCE 216可完全地位在其中一區236內,但調整微觀TCE 216之任一者的熱輸出,可對整個加熱板248的複數區236與地點產生熱影響。據此,可選擇性地將一或更多微觀TCE 216啟動及/或關閉,以進一步調整區236的溫度。如以下將進一步詳細描述,溫度控制器204實施根據本揭露內容之系統與方法以估計ESC 200的溫度。
現參照圖3,根據本揭露內容的原理之例示性溫度控制器300包括巨觀TCE控制器304與微觀TCE控制器308(其在實施例中可實施為單一控制器)、記憶體312、及介面316(用於與例如如顯示於圖1中之系統控制器160溝通、用於接收使用者輸入,諸如此類)、及ESC溫度估計模組320。僅作為範例,記憶體312可包括例如快閃記憶體之非揮發性記憶體。溫度控制器300經由介面316接收來自系統控制器160之製程設定點溫度(例如,針對個別製程步驟之期望的設定點溫度)及/或其他參數。介面316提供製程設定點溫度至巨觀TCE控制器304。製程設定點溫度可包括針對每個區236之單一設定點溫度及/或針對個別的區236的各者的不同製程設定點溫度。巨觀TCE控制器304根據所接收的製程設定點或複數製程設定點來控制巨觀TCE 212。微觀TCE 216可接著受到控制以在各個區236中達成製程設定點,從而補償區236中的溫度非均勻性。
ESC溫度估計模組320根據由巨觀TCE控制器304、微觀TCE控制器308及一或更多輸入324提供的回饋來估計ESC 200的溫度。所估計的ESC溫度可取決於例如區溫度(即,如藉由巨觀TCE控制器304控制的區236中的溫度)、 局部溫度(即,如藉由微觀TCE控制器308控制)、偏壓RF功率、TCP RF功率、及底板溫度。溫度估計模組320根據針對與ESC溫度相關的輸入的各者之個別模型(例如,儲存在記憶體312中)來估計ESC溫度。僅作為範例,模型的各者針對輸入的各者,將個別的溫度貢獻關聯至ESC溫度。
在一範例中,溫度估計模組320接收與微觀TCE 216的各者相關的電壓與電流之讀數。例如,溫度估計模組320可接收從微觀TCE控制器308提供至微觀TCE 216之電壓的讀數,並可經由個別的電流感測器328(其與微觀TCE 216以串聯方式連接)接收流經微觀TCE 216之電流的測量值。然後可根據所接收的電壓與電流資訊來計算(例如,使用模型)微觀TCE 216的各者之個別的電阻。由於微觀TCE 216包含電阻加熱元件,微觀TCE 216的各者之電阻係代表在對應位置中ESC 200的溫度。換言之,微觀TCE 216的各者的電阻為溫度的函數,而因此,微觀TCE 216的各者之計算的電阻可映射至對應的溫度。根據如以下將進一步詳細描述,溫度估計模組320針對微觀TCE溫度的各者計算對於ESC溫度的溫度貢獻。
現參照圖4,例示性ESC溫度估計模組400包括一或更多模組404,該等模組404配置成接收輸入408的分別一者並據此產生對於ESC溫度的相應貢獻,其可稱為溫度響應。溫度響應可各對應至模型係數(例如,G1、G2、G3、G4及G5)與輸入408的分別一者之乘積。例如,輸入408可包括底板溫度412、偏壓RF功率416、TCP RF功率420、對於微觀TCE 216的各者之電壓與電流測量值424、及提供至巨觀TCE 212之功率輸入428。
模組404可包括TCP RF模組432、偏壓RF模組436、底板溫度模組440、微觀TCE溫度模組444及區溫度模組448。溫度估計模組400可進一步包括電 阻模組452及電阻對溫度模組456。例如,電阻模組452基於對應的電壓與電流測量值424來計算微觀TCE 216的各者之個別的電阻。電阻對溫度模組456基於由電阻模組452計算的電阻來計算溫度(例如,針對微觀TCE 216的各者使用將電阻相關聯至溫度的映射表)。電阻對溫度模組456提供計算的電阻至微觀TCE溫度模組444。
模組404的各者實施個別的模型以基於各自的輸入408產生並輸出溫度響應。僅作為範例,如以下將進一步詳細描述,由模組444、448、440、436及432實施的模型係分別由G1、G2、G3、G4及G5代表。該等模組404的輸出係在加總節點460處加總在一起,以產生ESC溫度估計值464。ESC 200的溫度可使用ESC溫度估計值464來進一步控制以達成期望的溫度。例如,可基於ESC溫度估計值464來調整提供至巨觀TCE 212與微觀TCE 216的電壓/功率,以更準確地達成期望溫度。
據此,溫度估計值464(「溫度」)對應於:溫度=G1 *微觀溫度+G2 *巨觀功率+G3 *底板溫度+G4 *偏壓功率+G5 * TCP功率,其中微觀溫度、巨觀功率、底板溫度、偏壓功率及TCP功率分別對應於至模組444、448、440、436及432的輸入408。據此,輸入408的各者係藉由模型G1、G2、G3、G4及G5的分別一者來修改。
僅作為範例,模型G2可對應於
Figure 107101366-A0305-02-0013-1
,其中k為與巨觀TCE 212相關的受控體增益(plant gain),L為與巨觀TCE 212相關的時間延遲,而T為與巨觀TCE 212相關的時間常數。例如,時間延遲可對應至溫度響應延遲。模型G3可對應於
Figure 107101366-A0305-02-0013-2
,其中kbase為與底板相關的受控體增益,Lbase為與底板相關的時間延遲,而Tbase為與底板相關的時間常數。模型G4可對應 於
Figure 107101366-A0305-02-0014-3
,其中kbias為與偏壓RF功率相關的受控體增益,Lbias為與偏壓RF功率相關的時間延遲,而Tbias為與偏壓RF功率相關的時間常數。模型G5可對應於
Figure 107101366-A0305-02-0014-4
,其中ktcp為與TCP RF功率相關的受控體增益,Ltcp為與TCP RF功率相關的時間延遲,而Ttcp為與TCP RF功率相關的時間常數。
如顯示於圖5A中,模型G1可藉由在複數溫度下針對微觀TCE 216的各者測量電壓與電流來計算。在一範例中,微觀TCE 216的陣列(例如,如圖2A、2B及2C中所示,對應於嵌入ESC 200之內的微觀TCE 216)可設置在配置成維持期望溫度的烘箱或製程腔室之內。在烘箱處於複數溫度的各者(例如,在從-40至130℃的範圍中)的情況下,提供電壓至微觀TCE 216並測量相應的電流。以此方式,可判定在個別溫度的各者下針對微觀TCE 216的各者的相應電壓與電流。
然後可使用測量的電壓與電流來計算在各溫度下的電阻。圖5B說明針對複數溫度的各者所計算的電阻與電壓之間的關係。據此,可判定溫度敏感性(即,微觀TCE 216中之擇定者的電阻對於溫度改變之敏感性)。此判定可針對微觀TCE 216中的一者來執行、針對微觀TCE 216的預定部分來執行、針對所有微觀TCE 216來執行,諸如此類。如在圖6中所示,微觀TCE 216的電阻與對應溫度之間的關係大約為線性的。以此方式,電阻對溫度模組456可實施為一映射表或模型,該映射表或模型針對微觀TCE 216的各者將電阻(例如,基於電壓與電流測量值424計算)相關聯至估計的溫度。
可針對微觀TCE 216的各者判定在ESC 200的個別位置的溫度響應。例如,熱電偶或其他溫度感測器可設置在ESC 200的上表面上對應於微觀TCE 216中之擇定者的一位置處。圖7說明相對於微觀TCE 216中之對應者的溫度704 之在ESC 200的表面位置處的溫度響應700。如所示,溫度704係偏移(即,大於)ESC 200的溫度響應700大約5-8℃。進一步地,微觀TCE 216的溫度響應係快過ESC 200的溫度響應700。例如,相對於溫度704的增加,溫度響應700可具有大約4秒的延遲。如所示,微觀TCE的溫度響應的時間常數大約為9秒,而溫度響應700的時間常數大約為14秒。
模型G1係根據在ESC 200的溫度響應700與對應的微觀TCE 216的估計溫度704之間所觀測到的關係(例如,如在圖7中所示)來計算。在一範例中,模型G1可對應於:G1=偏移量+增益量
Figure 107101366-A0305-02-0015-5
其中偏移、增益、延遲L對應於預定的常數,而s是單位為秒的時間。例如,偏移量可對應至溫度704與溫度響應700之間的偏移。圖8使用模型G1說明相對於ESC 200的實際測量溫度之ESC 200的估計溫度。儘管此處描述的是線性動態模型,但在一些範例中,一或更多模型G1、G2、G3、G4及G5可對應至其他模型類型,例如高階模型(higher order models)、非線性模型等等。
現參照圖9,例示性ESC溫度估計方法900開始於方塊904。在方塊908,方法900在複數溫度下判定ESC的複數加熱元件或複數加熱器(例如,微觀TCE 216)的電壓與電流。在方塊912,方法900在複數溫度的各者下使用判定的電壓與電流來計算微觀TCE 216的電阻。在方塊916,該方法儲存代表電壓與在複數溫度的各者下計算的電阻之間的關係之資料。例如,所儲存的資料可納入由電阻對溫度模組456實施之映射表或模型中。在方塊920,方法900判定及儲存一模型,該模型將微觀TCE 216的溫度相關聯至ESC 200上個別的表面位置處。
在方塊924,在基板處理期間,方法900(例如,ESC溫度估計模組400)判定微觀TCE 216的電壓與電流。在方塊928,方法900(例如,電阻模組452)基於所判定的電壓與電流來判定微觀TCE 216的電阻。在方塊932,方法900(例如,電阻對溫度模組456)基於電阻判定微觀TCE 216的溫度。在方塊936,方法900(例如,實施所儲存模型之微觀TCE溫度模組444)基於微觀TCE 216的判定溫度來判定ESC 200的個別表面溫度響應。在方塊940,方法900(例如,溫度估計模組400)產生並輸出ESC 200的估計溫度。例如,可基於在方塊936判定的溫度響應,以及針對其他輸入使用個別模型G2、G3、G4及G5來計算的溫度響應(如以上描述於圖4中),來產生ESC 200的估計溫度。方法900終止於方塊944。
先前敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之申請專利範圍,其他修改將變得顯而易見。須了解在不改變本發明的原則之下,能依不同的順序(或同時)執行一方法中一或更多的步驟。再者,雖然前文中將每一實施例描述為具有某些特徵,但所述之關於本發明之任一實施例的該等特徵的任一或更多者可在任何其他實施例中實行、及/或可與任何其他實施例的特徵組合,即使未明確地描述該組合。換句話說,所描述的實施例並非係互相排斥的,且一或更多實施例之間互相的置換仍屬於本發明的範疇。
元件之間(例如,模組、電路元件、半導體層…等之間)空間的、及功能的關係係使用各種用語而描述,包含「連接」、「嚙合」、「耦接」、「相鄰」、「接近」、「在頂部上」、「之上」、「之下」、以及「設置」。除非明確地描述成係「直接」的,否則當在以上揭露內容中描述第一及第二元件之間的 關係時,該關係可為在第一及第二元件之間沒有其他中間元件存在的直接關係,也可為在第一及第二元件之間存在一或更多中間元件(空間上、或功能上)的間接關係。如在此使用的文字「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),且不應解釋為代表「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施例中,控制器為系統的一部分,其可為前述範例之一部分。此種系統可包含半導體處理設備,其包括:處理工具(或複數處理工具)、腔室(或複數腔室)、用以處理的工作台(或複數工具台)、及/或特定處理元件(例如晶圓支座、氣流系統等)。這些系統可與電子設備結合,以在半導體晶圓或基板的處理之前、期間、與之後,控制系統的操作。該等電子設備可稱為「控制器」,其可控制系統(或複數系統)的各種元件或子部件。根據製程要求及/或系統的類型,可將控制器編程式,以控制本文中揭露之任何處理,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式與控制器通訊的指令,其定義用以在半導體晶圓上、或針 對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
200‧‧‧靜電夾頭(ESC)
204‧‧‧溫度控制器
208‧‧‧連接件
212‧‧‧巨觀TCE
216‧‧‧微觀TCE
224‧‧‧底板
228‧‧‧RF電漿源
232‧‧‧偏壓RF源
240‧‧‧通道
244‧‧‧熱阻層
248‧‧‧陶瓷加熱板

Claims (16)

  1. 一種溫度控制器,用於一基板處理系統中的一基板支撐件,該溫度控制器包含:儲存一第一模型的記憶體,該第一模型係將(i)設置在該基板支撐件中之複數第一熱控制元件(TCE)的溫度與(ii)該基板支撐件的複數第一溫度響應相關聯起來,其中該等第一溫度響應對應於該基板支撐件的一表面上的複數位置,且其中該等第一TCE係配置成對該基板支撐件進行加熱;及一溫度估計模組,其(i)計算該等第一TCE的電阻,(ii)基於該等計算的電阻判定該等第一TCE的溫度,及(iii)使用該儲存的第一模型、與基於該等計算的電阻所判定之該等第一TCE的該等溫度來估計該基板支撐件的一實際溫度響應,其中該溫度控制器係配置成基於該基板支撐件的該實際溫度響應來控制該等第一TCE以加熱該基板支撐件。
  2. 如申請專利範圍第1項之溫度控制器,其中:該記憶體進一步儲存下列者其中至少一者:一第二模型,其將(i)提供至設置在該基板支撐件中的複數第二TCE之功率與(ii)該基板支撐件的複數第二溫度響應相關聯起來,一第三模型,其將(i)該基板支撐件的一底板的溫度與(ii)該基板支撐件的複數第三溫度響應相關聯起來,一第四模型,其將(i)提供至該基板支撐件的一偏壓射頻(RF)功率與(ii)該基板支撐件的複數第四溫度響應相關聯起來,及 一第五模型,其將(i)提供至該基板處理系統的電漿RF功率與(ii)該基板支撐件的複數第五溫度響應相關聯起來。
  3. 如申請專利範圍第2項之溫度控制器,其中,為估計該基板支撐件的該實際溫度響應,該溫度估計模組進一步基於該第二模型、該第三模型、該第四模型及該第五模型其中被儲存的該至少一者來估計該實際溫度響應。
  4. 如申請專利範圍第2項之溫度控制器,其中該溫度估計模組基於該第二模型、該第三模型、該第四模型及該第五模型其中該至少一者的輸出值與該第一模型的輸出值的總和來估計該實際溫度響應。
  5. 如申請專利範圍第2項之溫度控制器,其中該第二模型、該第三模型、該第四模型及該第五模型其中該至少一者對應於
    Figure 107101366-A0305-02-0025-6
    ,其中k為受控體增益(plant gain),L為時間延遲,而T為時間常數。
  6. 如申請專利範圍第5項之溫度控制器,其中該第二模型、該第三模型、該第四模型及該第五模型其中該至少一者的輸出值對應於
    Figure 107101366-A0305-02-0025-7
    與各自的輸入值的乘積。
  7. 如申請專利範圍第1項之溫度控制器,其中該第一模型對應於偏移量+增益量
    Figure 107101366-A0305-02-0025-8
    ,其中偏移對應於該等第一TCE的溫度與該等第一溫度響應之間的一偏移,增益量對應於該第一模型的一增益,L對應於一時間延遲,而s對應於單位為秒的時間。
  8. 如申請專利範圍第1項之溫度控制器,其中該記憶體儲存一第二模型,該第二模型係將(i)該等計算的電阻與(ii)該等第一TCE的該等溫度相關聯起來,且其中該溫度估計模組使用該第二模型與該等計算的電阻來判定該等第一TCE的該等溫度。
  9. 一種估計溫度的方法,用於估計一基板處理系統中的一基板支撐件的溫度,該方法包含:儲存一第一模型,該第一模型係將(i)設置在該基板支撐件中之複數第一熱控制元件(TCE)的溫度與(ii)該基板支撐件的複數第一溫度響應相關聯起來,其中該等第一溫度響應對應於該基板支撐件的一表面上的複數位置,其中該等第一TCE係配置成對該基板支撐件進行加熱;計算該等第一TCE的電阻;基於該等計算的電阻判定該等第一TCE的溫度;使用該儲存的第一模型、與基於該等計算的電阻所判定之該等第一TCE的該等溫度來估計該基板支撐件的一實際溫度響應;及基於該基板支撐件的該實際溫度響應來控制該等第一TCE以加熱該基板支撐件。
  10. 如申請專利範圍第9項之估計溫度的方法,更包含:儲存下列者其中至少一者:一第二模型,其將(i)提供至設置在該基板支撐件中的複數第二TCE之功率與(ii)該基板支撐件的複數第二溫度響應相關聯起來,一第三模型,其將(i)該基板支撐件的一底板的溫度與(ii)該基板支撐件的複數第三溫度響應相關聯起來,一第四模型,其將(i)提供至該基板支撐件的一偏壓射頻(RF)功率與(ii)該基板支撐件的複數第四溫度響應相關聯起來,及一第五模型,其將(i)提供至該基板處理系統的電漿RF功率與(ii)該基板支撐件的複數第五溫度響應相關聯起來。
  11. 如申請專利範圍第10項之估計溫度的方法,其中估計該基板支撐件的該實際溫度響應的步驟包括:進一步基於該第二模型、該第三模型、該第四模型及該第五模型其中被儲存的該至少一者來估計該實際溫度響應。
  12. 如申請專利範圍第10項之估計溫度的方法,其中估計該實際溫度響應的步驟包括:基於該第二模型、該第三模型、該第四模型及該第五模型其中該至少一者的輸出值與該第一模型的輸出值的總和來估計該實際溫度響應。
  13. 如申請專利範圍第10項之估計溫度的方法,其中該第二模型、該第三模型、該第四模型及該第五模型其中該至少一者對應於
    Figure 107101366-A0305-02-0027-9
    ,其中k為受控體增益,L為時間延遲,而T為時間常數。
  14. 如申請專利範圍第13項之估計溫度的方法,其中該第二模型、該第三模型、該第四模型及該第五模型其中該至少一者的輸出值對應於
    Figure 107101366-A0305-02-0027-10
    與各自的輸入值的乘積。
  15. 如申請專利範圍第9項之估計溫度的方法,其中該第一模型對應於偏移量+增益量
    Figure 107101366-A0305-02-0027-11
    ,其中偏移量對應於該等第一TCE的溫度與該等第一溫度響應之間的一偏移,增益量對應於該第一模型的一增益,L對應於一時間延遲,而s對應於單位為秒的時間。
  16. 如申請專利範圍第9項之估計溫度的方法,更包含儲存一第二模型,該第二模型係將(i)該等計算的電阻與(ii)該等第一TCE的該等溫度相關聯起來,且進一步包含使用該第二模型與該等計算的電阻來判定該等第一TCE的該等溫度。
TW107101366A 2017-01-20 2018-01-15 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法 TWI782943B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/411,389 2017-01-20
US15/411,389 US10509425B2 (en) 2017-01-20 2017-01-20 Virtual metrology method for ESC temperature estimation using thermal control elements

Publications (2)

Publication Number Publication Date
TW201841091A TW201841091A (zh) 2018-11-16
TWI782943B true TWI782943B (zh) 2022-11-11

Family

ID=62905828

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107101366A TWI782943B (zh) 2017-01-20 2018-01-15 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法

Country Status (6)

Country Link
US (1) US10509425B2 (zh)
JP (1) JP7191832B2 (zh)
KR (1) KR102533847B1 (zh)
CN (1) CN110199383B (zh)
TW (1) TWI782943B (zh)
WO (1) WO2018136608A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022524415A (ja) * 2019-03-13 2022-05-02 ラム リサーチ コーポレーション 温度を近似させるための静電チャックヒータ抵抗測定
WO2021016223A1 (en) * 2019-07-25 2021-01-28 Lam Research Corporation In situ real-time sensing and compensation of non-uniformities in substrate processing systems
TWI789845B (zh) * 2020-07-27 2023-01-11 美商瓦特洛威電子製造公司 控制熱系統的方法與用於控制加熱器系統的程序控制系統
JP7467274B2 (ja) * 2020-08-07 2024-04-15 東京エレクトロン株式会社 温度推定方法及び成膜装置
KR20230124728A (ko) 2021-01-29 2023-08-25 스미토모덴키고교가부시키가이샤 히터 제어 장치

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116885A (ja) * 1996-10-08 1998-05-06 Anelva Corp 基板温度制御機構
US20020183977A1 (en) * 1998-07-10 2002-12-05 Applied Materials, Inc. Endpoint detection in substrate fabrication processes
US6605955B1 (en) * 1999-01-26 2003-08-12 Trio-Tech International Temperature controlled wafer chuck system with low thermal resistance
US20060291132A1 (en) * 2005-06-28 2006-12-28 Seiichiro Kanno Electrostatic chuck, wafer processing apparatus and plasma processing method
TW200807203A (en) * 2006-03-31 2008-02-01 Tokyo Electron Ltd Monitoring a system during low-pressure processes
CN101165617A (zh) * 2006-10-05 2008-04-23 东京毅力科创株式会社 基板处理系统的处理方案最佳化方法
TW200842938A (en) * 2007-02-09 2008-11-01 Tokyo Electron Ltd Temperature control method, temperature regulator, and heating treatment apparatus
TW200849443A (en) * 2007-04-02 2008-12-16 Hitachi Int Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
TW200925317A (en) * 2007-08-24 2009-06-16 Asm Inc Thermocouple
US20130270252A1 (en) * 2011-05-20 2013-10-17 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
US20150132863A1 (en) * 2012-01-13 2015-05-14 Tokyo Electron Limited Plasma processing apparatus and heater temperature control method
TW201606470A (zh) * 2014-07-02 2016-02-16 應用材料股份有限公司 含有溝槽指引式光纖加熱的溫度控制設備、基板溫度控制系統、電子元件處理系統以及處理方法
US20160202618A1 (en) * 2010-07-16 2016-07-14 Asml Netherlands B.V. Lithographic apparatus and method
US20160372355A1 (en) * 2015-06-22 2016-12-22 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000339039A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP2006114580A (ja) * 2004-10-13 2006-04-27 Canon Inc 温度測定装置及びプラズマ処理装置
JP2008177185A (ja) * 2007-01-16 2008-07-31 Powertech Technology Inc パッケージ構造
JP5203612B2 (ja) * 2007-01-17 2013-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2010219462A (ja) * 2009-03-19 2010-09-30 Renesas Electronics Corp ウエハ温度シミュレーション装置、ウエハ温度シミュレーション方法及びそのプログラム
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116885A (ja) * 1996-10-08 1998-05-06 Anelva Corp 基板温度制御機構
US20020183977A1 (en) * 1998-07-10 2002-12-05 Applied Materials, Inc. Endpoint detection in substrate fabrication processes
US6605955B1 (en) * 1999-01-26 2003-08-12 Trio-Tech International Temperature controlled wafer chuck system with low thermal resistance
US20060291132A1 (en) * 2005-06-28 2006-12-28 Seiichiro Kanno Electrostatic chuck, wafer processing apparatus and plasma processing method
TW200807203A (en) * 2006-03-31 2008-02-01 Tokyo Electron Ltd Monitoring a system during low-pressure processes
CN101165617A (zh) * 2006-10-05 2008-04-23 东京毅力科创株式会社 基板处理系统的处理方案最佳化方法
TW200842938A (en) * 2007-02-09 2008-11-01 Tokyo Electron Ltd Temperature control method, temperature regulator, and heating treatment apparatus
TW200849443A (en) * 2007-04-02 2008-12-16 Hitachi Int Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
TW200925317A (en) * 2007-08-24 2009-06-16 Asm Inc Thermocouple
US20160202618A1 (en) * 2010-07-16 2016-07-14 Asml Netherlands B.V. Lithographic apparatus and method
US20130270252A1 (en) * 2011-05-20 2013-10-17 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
US20150132863A1 (en) * 2012-01-13 2015-05-14 Tokyo Electron Limited Plasma processing apparatus and heater temperature control method
TW201606470A (zh) * 2014-07-02 2016-02-16 應用材料股份有限公司 含有溝槽指引式光纖加熱的溫度控制設備、基板溫度控制系統、電子元件處理系統以及處理方法
US20160372355A1 (en) * 2015-06-22 2016-12-22 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck

Also Published As

Publication number Publication date
WO2018136608A1 (en) 2018-07-26
KR102533847B1 (ko) 2023-05-17
KR20190100972A (ko) 2019-08-29
TW201841091A (zh) 2018-11-16
JP2020506539A (ja) 2020-02-27
JP7191832B2 (ja) 2022-12-19
US10509425B2 (en) 2019-12-17
US20180210473A1 (en) 2018-07-26
CN110199383A (zh) 2019-09-03
CN110199383B (zh) 2023-10-27

Similar Documents

Publication Publication Date Title
TWI782943B (zh) 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10096506B2 (en) Reducing temperature transition in a substrate support
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
TWI750303B (zh) 使用晶圓之前饋臨界尺寸數據以預測其他臨界尺寸的虛擬量測系統及方法
JP7454504B2 (ja) 基板処理中の基板温度の決定および制御
TWI847982B (zh) 基板處理系統及其控制器,以及用以控制基板處理系統中的基板支架之溫度的方法