KR20190087609A - 기판 지지부 온도를 계산하기 위한 시스템 및 방법 - Google Patents

기판 지지부 온도를 계산하기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20190087609A
KR20190087609A KR1020197018993A KR20197018993A KR20190087609A KR 20190087609 A KR20190087609 A KR 20190087609A KR 1020197018993 A KR1020197018993 A KR 1020197018993A KR 20197018993 A KR20197018993 A KR 20197018993A KR 20190087609 A KR20190087609 A KR 20190087609A
Authority
KR
South Korea
Prior art keywords
temperature
substrate support
parameter
heat transfer
power
Prior art date
Application number
KR1020197018993A
Other languages
English (en)
Inventor
데이비드 조셉 웨첼
알렌산더 블리키
자콥 프레드릭 타이젠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190087609A publication Critical patent/KR20190087609A/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/24Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element having a resistance varying with temperature, e.g. a thermistor
    • G05D23/2401Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element having a resistance varying with temperature, e.g. a thermistor using a heating element as a sensing element
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/42Circuits effecting compensation of thermal inertia; Circuits for predicting the stationary value of a temperature
    • G01K7/427Temperature calculation based on spatial modeling, e.g. spatial inter- or extrapolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K13/00Thermometers specially adapted for specific purposes
    • G01K13/02Thermometers specially adapted for specific purposes for measuring temperature of moving fluids or granular materials capable of flow
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R21/00Arrangements for measuring electric power or power factor
    • G01R21/14Compensating for temperature change
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1917Control of temperature characterised by the use of electric means using digital means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L21/00Apparatus or local circuits for mosaic printer telegraph systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R21/00Arrangements for measuring electric power or power factor
    • G01R21/127Arrangements for measuring electric power or power factor by using pulse modulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Automation & Control Theory (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 프로세싱 시스템의 기판 지지부를 위한 온도 제어기는 기판 지지부로 공급된 전력을 나타내는 전력 파라미터를 계산하도록 구성된 전력 파라미터 모듈을 포함한다. 냉각제 온도 파라미터 모듈이 기판 지지부로 공급된 냉각제의 온도를 나타내는 냉각제 온도 파라미터를 계산하도록 구성된다. 열 전달 가스 파라미터 모듈이 기판 지지부로 공급된 열 전달 가스의 플로우 레이트들을 나타내는 열 전달 가스 파라미터를 계산하도록 구성된다. 온도 계산 모듈이 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터를 사용하여 기판 지지부의 온도를 계산하도록 구성된다.

Description

기판 지지부 온도를 계산하기 위한 시스템 및 방법
관련 출원들에 대한 교차 참조
본 출원은 2017년 11월 28일 출원된 미국 실용신안 출원 번호 제 15/824,447 호의 우선권을 주장하고, 또한 2016년 12월 15일 출원된 미국 특허 가출원 번호 제 62/434,665 호의 이점을 주장한다. 참조된 출원들의 전체 개시는 본 명세서에 참조로서 인용된다.
본 개시는 기판 프로세싱 시스템의 기판 지지부의 온도를 추정하는 것에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하는데 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, CVD (chemical vapor deposition), ALD (atomic layer deposition), 도전체 에칭, 유전체 에칭, 및/또는 다른 에칭 프로세스, 증착 프로세스 또는 세정 프로세스를 포함한다. 기판이 기판 프로세싱 시스템의 프로세싱 챔버 내 페데스탈, ESC (electrostatic chuck), 등과 같은 기판 지지부 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 가스들을 포함하는 에칭 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고, 플라즈마가 화학 반응들을 개시하도록 사용될 수도 있다.
기판 지지부는 기판을 지지하도록 구성된 세라믹 층을 포함할 수도 있다. 예를 들어, 기판은 프로세싱 동안 세라믹 층에 클램핑될 수도 있다. 기판 지지부는 세라믹 층 상에 배치된 기판의 후면으로 열 전달 가스 (예를 들어, 헬륨) 를 제공하기 위한 복수의 채널들을 포함할 수도 있다. 열 전달 가스는 기판 및/또는 세라믹 층의 냉각을 용이하게 한다.
기판 프로세싱 시스템의 기판 지지부를 위한 온도 제어기는 기판 지지부로 공급된 전력을 나타내는 전력 파라미터를 계산하도록 구성된 전력 파라미터 모듈을 포함한다. 냉각제 온도 파라미터 모듈이 기판 지지부로 공급된 냉각제의 온도를 나타내는 냉각제 온도 파라미터를 계산하도록 구성된다. 열 전달 가스 파라미터 모듈이 기판 지지부로 공급된 열 전달 가스의 플로우 레이트들을 나타내는 열 전달 가스 파라미터를 계산하도록 구성된다. 온도 계산 모듈이 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터를 사용하여 기판 지지부의 온도를 계산하도록 구성된다.
다른 특징들에서, 전력 파라미터는 복수의 전력 소스들에 의해 기판 지지부로 공급된 평균 전력에 대응한다. 전력 파라미터 모듈은 제 1 전력 소스에 의해 공급된 제 1 전력, 제 2 전력 소스에 의해 공급된 제 2 전력, 및 듀티 사이클에 기초하여 전력 파라미터를 계산하도록 구성된다. 냉각제 온도 파라미터는 기판 지지부로 공급된 냉각제의 제 1 온도와 기판 지지부로부터 리턴하는 (return) 냉각제의 제 2 온도 간의 차에 대응한다. 열 전달 가스 파라미터는 기판 지지부의 제 1 존로 공급된 열 전달 가스의 제 1 플로우 레이트와 기판 지지부의 제 2 존로 공급된 열 전달 가스의 제 2 플로우 레이트 간의 차에 대응한다. 온도 계산 모듈은 기판 지지부에 인가된 클램핑 전압에 또한 기초하여 기판 지지부의 온도를 계산하도록 구성된다.
다른 특징들에서, 온도 계산 모듈은 제 1 보정 계수와 전력 파라미터의 제 1 곱, 제 2 보정 계수와 냉각제 온도 파라미터의 제 2 곱, 및 제 3 보정 계수와 열 전달 가스 파라미터의 제 3 곱의 합에 따라 기판 지지부의 온도를 계산하도록 구성된다. 메모리가 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터와 기판 지지부의 온도 간의 관계를 나타내는 데이터를 저장한다.
다른 특징들에서, 온도 계산 모듈은 메모리로부터 데이터를 입수하고 (retrieve) 입수된 데이터, 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터를 사용하여 기판 지지부의 온도를 계산하도록 구성된다. 기판 지지부의 계산된 온도는 세라믹 층의 온도에 대응한다. 온도 제어기는 기판 지지부의 계산된 온도에 기초하여, 냉각제의 플로우 및 열 전달 가스의 플로우 레이트들 중 적어도 하나를 제어하도록 구성된다.
기판 프로세싱 시스템의 기판 지지부의 온도를 제어하는 방법은, 기판 지지부로 공급된 전력을 나타내는 전력 파라미터를 계산하는 단계; 기판 지지부로 공급된 냉각제의 온도를 나타내는 냉각제 온도 파라미터를 계산하는 단계; 기판 지지부로 공급된 열 전달 가스의 플로우 레이트들을 나타내는 열 전달 가스 파라미터를 계산하는 단계; 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터를 사용하여 기판 지지부의 온도를 계산하는 단계; 및 계산된 온도에 기초하여, 냉각제의 플로우 및 열 전달 가스의 플로우 레이트들 중 적어도 하나를 제어하는 단계를 포함한다.
다른 특징들에서, 전력 파라미터는 복수의 전력 소스들에 의해 기판 지지부로 공급된 평균 전력에 대응한다. 전력 파라미터를 계산하는 단계는 제 1 전력 소스에 의해 공급된 제 1 전력, 제 2 전력 소스에 의해 공급된 제 2 전력, 및 듀티 사이클에 기초하여 전력 파라미터를 계산하는 단계를 포함한다. 냉각제 온도 파라미터는 기판 지지부로 공급된 냉각제의 제 1 온도와 기판 지지부로부터 리턴하는 냉각제의 제 2 온도 간의 차에 대응한다. 열 전달 가스 파라미터는 기판 지지부의 제 1 존로 공급된 열 전달 가스의 제 1 플로우 레이트와 기판 지지부의 제 2 존로 공급된 열 전달 가스의 제 2 플로우 레이트 간의 차에 대응한다. 기판 지지부의 온도를 계산하는 단계는 기판 지지부에 인가된 클램핑 전압에 또한 기초하여 기판 지지부의 온도를 계산하는 단계를 포함한다.
다른 특징들에서, 기판 지지부의 온도를 계산하는 단계는 제 1 보정 계수와 전력 파라미터의 제 1 곱, 제 2 보정 계수와 냉각제 온도 파라미터의 제 2 곱, 및 제 3 보정 계수와 열 전달 가스 파라미터의 제 3 곱의 합에 따라 기판 지지부의 온도를 계산하는 단계를 포함한다. 방법은 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터와 기판 지지부의 온도 간의 관계를 나타내는 데이터를 메모리에 저장하는 단계를 더 포함한다. 방법은 메모리로부터 데이터를 입수하는 단계 및 입수된 데이터, 전력 파라미터, 냉각제 온도 파라미터, 및 열 전달 가스 파라미터를 사용하여 기판 지지부의 온도를 계산하는 단계를 더 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 예시적인 기판 프로세싱 시스템이다.
도 2는 본 개시에 따른 예시적인 기판 지지부이다.
도 3은 본 개시에 따른 예시적인 온도 제어기이다.
도 4는 본 개시에 따른 기판 지지부의 온도를 계산하기 위한 예시적인 방법이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
일부 구성들에서, 기판 프로세싱 시스템의 기판 지지부는 (예를 들어, 세라믹 층의) 온도 제어 및/또는 온도 센서들을 구현하기 적합하지 않을 수도 있다. 예를 들어, 기판 지지부는 프로세싱 챔버 내에서 플라즈마를 생성하기 위해 RF (radio frequency) 전압에 커플링된 하부 전극을 포함할 수도 있다. 기판 지지부는 또한 세라믹 층에 기판을 클램핑하기 위한 전압 (즉, 클램핑 전압) 에 커플링된 ESC (electrostatic chuck) 에 대응할 수도 있다. 이에 따라, 일부 기판 지지부 구성들과 연관된 상대적으로 고전력 (예를 들어, 18 ㎾ 이상) 은 가열 엘리먼트들, 온도 센서들, 등과 같은 기판 지지부의 온도 제어와 연관된 전기 컴포넌트들의 동작을 간섭할 수도 있다. 반대로, 가열 엘리먼트들 및 온도 센서들은 플라즈마 생성을 간섭할 수도 있다. 예를 들어, 고전력 기판 지지부 구성에서 기판 지지부에 배치된 가열 엘리먼트들 및 온도 센서들은 플라즈마 아크 (arcing) 또는 플라즈마 생성 실패 가능성을 상승시킬 수도 있다.
본 개시의 원리들에 따른 온도 계산 시스템들 및 방법들은 통합된 온도 센서들 없이 기판 지지부 및/또는 기판 지지부 상에 배치된 기판의 온도를 계산하기 위해 가상 온도 센싱을 구현한다. 예를 들어, 온도 계산 시스템은 기판 지지부의 동작과 관련된 다양한 파라미터들, 이로 제한되는 것은 아니지만, 기판 지지부로 공급된 냉각제의 온도; 기판 지지부로부터 리턴하는 (즉, 기판 지지부로부터 흘러 나오는) 냉각제의 온도; 기판 지지부로 공급된 RF 전력; 및/또는 기판 지지부로 제공된 열 전달 가스 (예를 들어, 헬륨) 의 플로우에 따라 기판 지지부 온도를 계산한다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마를 사용한 에칭, 증착 및/또는 다른 적합한 기판 프로세싱을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 ESC와 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 예로서 도시되지만, 본 개시의 원리들은, 플라즈마를 인-시츄 생성하는 기판 프로세싱 시스템, 리모트 플라즈마를 생성 및 (예를 들어, 플라즈마 튜브, 마이크로파 튜브를 사용한) 전달을 구현하는 기판 프로세싱 시스템, 등과 같은, 다른 타입들의 기판 프로세싱 시스템들 및 챔버들에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템 부분의 반대편 단부로부터 외향으로 방사상으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 역할을 하는, 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹 층 (112) 을 지지한다. 본딩 층 (114)(예를 들어, 본딩 층) 은 세라믹 층 (112) 과 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다. 기판 지지부 (106) 는 기판 (108) 의 외측 주변부 둘레에 배치된 에지 링 (118) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전력을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지될 수도 있거나, AC 접지될 수도 있거나 플로팅할 수도 있다. 본 예에서, RF전압이 하부 전극로 공급된다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 에 의해 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도성으로 또는 리모트로 생성될 수도 있다. 예를 목적으로 도시되었지만, RF 생성 시스템 (120) 은 CCP (capacitively coupled plasma) 시스템에 대응하고, 본 개시의 원리들은 단지 예를 들면 TCP (transformer coupled plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로웨이브 플라즈마 생성 및 전달 시스템들, 등과 같은, 다른 적합한 시스템들로 구현될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, … 및 132-N (집합적으로 가스 소스들 (132)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 에칭 가스들 및 이의 혼합물들을 공급한다. 가스 소스들은 또한 캐리어 가스 및/또는 퍼지 가스를 공급할 수도 있다. 가스 소스들은 밸브들 (134-1, 134-2, … 및 134-N (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers) (136-1, 136-2, … 및 136-N (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 로 피드된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피딩된다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 는 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 은 기판 지지부 (106) 상으로 기판들을 전달하고 그리고 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드록 (172) 사이에서 기판들을 이송할 수도 있다. 별도의 제어기로서 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다. 일부 예들에서, 보호 시일 (176) 이 세라믹 층 (112) 과 베이스플레이트 (110) 사이의 본딩 층 (114) 의 주변부 둘레에 제공될 수도 있다.
기판 지지부 (106) 는 열 전달 가스 소스 (182) 로부터 기판 (108) 의 후면으로 헬륨과 같은 열 전달 가스를 제공하도록 구성된 복수의 채널들 (180) 을 포함한다. 열 전달 가스는 기판 (108) 및/또는 세라믹 층 (112) 의 냉각을 용이하게 한다. 별도로 도시되었지만, 열 전달 가스 소스 (182) 는 가스 전달 시스템 (130) 내에서 구현될 수도 있다.
본 개시의 원리들에 따른 온도 제어기 (142) (및/또는 시스템 제어기 (160)) 는 보다 상세히 이하에 기술된 바와 같이 온도 계산 시스템 및 방법을 구현한다. 예를 들어, 온도 제어기 (142) 는 냉각제 어셈블리 (146) 로부터 기판 지지부 (106) 로 공급된 냉각제의 온도, 기판 지지부 (106) 로부터 냉각제 어셈블리 (146) 로 리턴하는 (즉, 기판 지지부 (106) 로부터 흘러 나오는) 냉각제의 온도, 기판 지지부 (106) 로 공급된 RF 전력, 열 전달 가스 소스 (182) 로부터 기판 지지부 (106) 로 제공된 열 전달 가스의 플로우, 및/또는 기판 지지부 (106) 로 공급되는 클램핑 전압에 기초하여 기판 지지부 (106) (예를 들어, 세라믹 층 (112)) 의 온도를 계산하도록 구성된다.
이제 도 2를 참조하면, 기판 (204) 을 지지하도록 구성된 예시적인 기판 지지부 (200) 가 도시된다. 기판 지지부 (200) 는 도전성 베이스플레이트 (208), 세라믹 층 (212), 및 일부 예들에서, 세라믹 층 (212) 과 베이스플레이트 (208) 사이에 배치된 본딩 층 (216) 을 포함한다. 베이스플레이트 (208) 는 베이스플레이트 (208) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (220) 을 포함할 수도 있다. 기판 지지부 (200) 는 기판 (204) 의 외측 주변부를 둘러싸도록 배치된 에지 링 (224) 을 포함할 수도 있다. 기판 지지부 (200) 는 복수의 열 전달 가스 소스 (232) 로부터 기판 (204) 의 후면 (즉, 아래 쪽) 으로 헬륨과 같은 열 전달 가스를 제공하도록 구성된 복수의 채널들 (228) 을 포함한다. 열 전달 가스는 기판 (204) 및/또는 세라믹 층 (212) 의 냉각을 용이하게 한다.
온도 제어기 (236) 는 채널들 (220) 을 통한 냉각제 플로우를 제어하기 위해 냉각제 어셈블리 (240) 와 통신한다. 온도 제어기 (236) 는 기판 지지부 (200) 를 냉각하기 위해 채널들 (220) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (240) 를 동작시킨다. 온도 제어기 (236) 는 또한 (예를 들어, 도 1에 상기 기술된 가스 전달 시스템 (130) 과 같은 가스 전달 시스템의 밸브들을 통해) 열 전달 가스의 플로우를 제어하기 위해 열 전달 가스 소스 (232) 와 통신할 수도 있다. 온도 제어기 (236) 는 별도의 제어기일 수도 있고, 시스템 제어기 (244) 내에서 구현되는, 등 할 수도 있다.
온도 제어기 (236) 는 이하에 보다 상세히 기술된 바와 같이 복수의 파라미터들 중 하나 이상에 기초하여 기판 지지부 (200) 의 온도를 계산하도록 구성된다. 예를 들어, 온도 제어기 (236) 는 냉각제 어셈블리 (240) 로부터 기판 지지부 (200) 로 공급된 냉각제의 온도, 기판 지지부 (200) 로부터 냉각제 어셈블리 (240) 로 리턴하는 (즉, 기판 지지부 (200) 로부터 흘러 나오는) 냉각제의 온도, 기판 지지부 (200) 로 공급된 RF 전력, 열 전달 가스 소스 (232) 로부터 기판 지지부 (200) 로 제공된 열 전달 가스의 플로우에 기초하여 기판 지지부 (200) (예를 들어, 세라믹 층 (204)) 의 온도를 계산하도록 구성된다. 일부 예들에서, 온도 제어기 (236) 는 기판 지지부 (200) 로 공급된 클램핑 전압에 또한 기초하여 기판 지지부의 온도를 계산하도록 구성된다.
일 예에서, 온도 제어기 (236) 는 (예를 들어, 센서 입력부들 (246) 로 도시된) 각각의 센서들로부터 파라미터들을 수신한다. 예를 들어, 온도 제어기 (236) 는 냉각제 어셈블리 (240) 의 유출구 (256) 와 기판 지지부 (200) 의 유입구 (260) 사이의 (예를 들어, 유입구 (260) 에 인접하게 배치된) 플로우 경로 (252) 에 배치된 센서 (248) 로부터 기판 지지부 (200) 로 공급된 냉각제의 온도를 수신한다. 온도 제어기 (236) 는 기판 지지부 (200) 의 유출구 (272) 와 냉각제 어셈블리 (240) 의 유입구 (276) (예를 들어, 유출구 (272) 에 인접하게 배치된) 사이의 플로우 경로 (268) 내에 배치된 센서 (264) 로부터 기판 지지부 (200) 로부터 리턴하는 냉각제의 온도를 수신한다. 온도 제어기 (236) 는 시스템 제어기 (244) 로부터 기판 지지부 (200) 로 공급된 RF 전력을 수신하고, 하나 이상의 센서들 (280) 들로부터 수신된 값들에 기초하여 RF 전력을 계산하는, 등 한다. 예를 들어, 센서들 (280) 은 RF 전력을 계산하도록 사용된 전압, 전류 또는 다른 값들을 나타내는 값들을 제공할 수도 있다. 온도 제어기 (236) 는 또한 센서들 (280), 시스템 제어기 (244), 등으로부터 클램핑 전압을 수신할 수도 있다.
온도 제어기 (236) 는 열 전달 가스 소스 (232) 와 기판 지지부 (200) 사이에 배치된 하나 이상의 센서들 (예를 들어, 헬륨 센서들) (284) 로부터 열 전달 가스의 플로우를 수용하고, 시스템 제어기 (244) 로부터 수신된 플로우 제어 값에 따라 플로우를 결정하는, 등 한다. 예를 들어, 센서들 (284) 은 기판 지지부 (200) 의 상이한 영역들 (예를 들어, 존들) 로 열 전달 가스 플로우를 측정하는 센서들에 대응할 수도 있다. 일부 예들에서, 기판 지지부 (200) 는 내측 (중앙) 존 (286), 중간-내측 존 (288), 중간-외측 존 (290), 및 외측 존 (292) 과 같은 복수의 환형, 동심 존들을 포함할 수도 있다.
이제 도 3을 참조하면, 예시적인 온도 제어기 (300) 가 도시된다. 온도 제어기 (300) 는 온도 계산 파라미터들 (308) 에 기초하여 기판 지지부 (200) 의 온도 (예를 들어, 세라믹 층 (212) 의 온도) 를 계산하도록 구성된 온도 계산 모듈 (304) 을 포함한다. 예를 들어, 온도 계산 모듈 (304) 은 온도를 계산하기 위해 모델, 공식, 등을 실행하도록 구성될 수도 있다. 온도 계산 모듈 (304) 은 계산된 온도를 나타내는 출력 신호 (312) 를 생성할 수도 있다. 일부 예들에서, 온도 계산 모듈 (304) 은 기판 지지부 (200) 의 계산된 온도에 기초하여 기판 지지부 (200) 상에 배치된 기판 (204) 의 온도를 계산하도록 더 구성될 수도 있다.
온도 제어기 (300) 는 하나 이상의 모델들, 등식들 (equations), 등 (간략함을 위해, 본 명세서에서 "등식"으로 지칭됨) 을 저장하도록 구성된 메모리 (316) 를 포함할 수도 있다. 예를 들어, 등식들은 온도 계산 파라미터들 (308) 중 다양한 파라미터들과 기판 지지부 (200) 의 온도 간 각각의 상관관계들에 기초한다. 등식들은 프로세싱 챔버, 수행되는 프로세스, 등에 기초하여 가변할 수도 있다. 온도 계산 모듈 (304) 은 대응하는 파라미터들 (308) 을 사용하여 온도를 계산하기 위해 메모리 (316) 로부터 공식을 입수한다.
온도 제어기 (300) 는 파라미터들 (308) 을 생성하도록 구성된 다양한 파라미터 계산 모듈들을 포함한다. 예를 들어, 전력 파라미터 모듈 (320) 이 기판 지지부 (200) 로 제공된 RF 전력을 나타내는 하나 이상의 수신된 값들 (324) 에 기초하여 RF 전력 파라미터를 계산하고 출력하도록 구성된다. 예를 들어, 수신된 값들 (324) 은 (예를 들어, 시스템 제어기 (244) 에 의해 지시될 때) 목표된 RF 전력, 측정된 RF 전력, 계산된 RF 전력, 및/또는 RF 전력을 계산하기 위해 모듈 (320) 에 의해 사용된 값들 (예를 들어, 듀티 사이클 및 전압, 전류, 등과 같은 센서 값들) 을 포함할 수도 있다.
냉각제 온도 파라미터 모듈 (328) 이 냉각제 공급 온도들을 나타내는 하나 이상의 수신된 값들 (332) 에 기초하여 냉각제 공급 온도 파라미터를 계산하고 출력하도록 구성된다. 예를 들어, 수신된 값들 (332) 은 센서들 (248 및 264) 로부터 수신된 신호들에 대응하는 냉각제 공급 온도 및 냉각제 리턴 온도를 포함할 수도 있다.
열 전달 가스 파라미터 모듈 (336) 이 열 전달 가스 플로우 레이트들을 나타내는 하나 이상의 수신된 값들 (340) 에 기초하여 열 전달 가스 파라미터를 계산하고 출력하도록 구성된다. 예를 들어, 수신된 값들 (340) 은 기판 지지부 (200) 의 상이한 존들로 공급된 열 전달 가스의 각각의 플로우 레이트들을 포함할 수도 있다.
도시되지 않지만, 다른 예들에서 온도 제어기 (300) 는 온도 계산 파라미터들 (308) 을 제공하도록 구성된 부가적인 모듈들을 포함할 수도 있다. 예를 들어, 다른 온도 계산 파라미터들은 이로 제한되는 것은 아니지만, 클램핑 전압을 포함할 수도 있다. 일부 예들에서, 다른 값들 (예를 들어, 측정된 값들, 계산된 값들, 센싱된 값들, 등) 이 온도 계산 모듈 (304) 로 바로 제공될 수도 있다.
일 예에서, 온도 계산 모듈 (304) 은 다음 등식에 따라 세라믹 층 (212) 의 온도를 계산하도록 구성된다:
Figure pct00001
(등식 1)
등식 1에서, Tsupport 는 계산된 온도이고, P는 공급된 전력에 대응하고, DC는 RF 전력 소스의 듀티 사이클에 대응하고, dESC는 냉각제 공급 온도와 냉각제 리턴 온도 간 차에 대응하고, dHe는 기판 지지부 (200) 의 상이한 2 개의 존들 (예를 들어, 내측 존 (286) 과 외측 존 (292)) 로의 열 전달 가스 플로우 간 차에 대응하고, A, B, 및 C는 상수들이다.
상수들 A, B, 및 C는 등식 1의 각각의 항들을 보정하는 보정 계수들에 대응한다. 보정 계수들은 (예를 들어, 제작, 캘리브레이션, 서비스, 등 동안 온도 센싱 테스트 웨이퍼와 같은 온도 센서들을 사용한) 기판 지지부 (200) 의 온도의 실제 측정값들과 센서 측정값들 사이의 상관관계에 기초하여 결정될 수도 있다. 이에 따라, 보정 계수들은 기판 지지부, 프로세싱 챔버, 기판 프로세싱 툴, 수행되는 프로세스, 사용되는 프로세스 가스들, 등 각각에 대해 가변할 수도 있다. 일 예에서, 보정 계수들은 다양한 온도 계산 파라미터들에 측정된 온도를 상관시키는 모델 (예를 들어, 선형 회귀 모델) 에 따라 결정된다. 즉, 보정 계수들은 기판 지지부 (200) 의 동작 전에 결정될 수도 있고 온도 계산 모듈 (304) 에 의한 사용을 위해 (예를 들어, 메모리 (316) 내에) 저장될 수도 있다. 일부 예들에서, 메모리 (316) 는 각각의 프로세스들 또는 레시피들에 대한 복수의 보정 계수들 각각 (예를 들어, 보정 계수들의 상이한 세트들) 을 저장할 수도 있다.
항 P*DC는 기판 지지부로 공급된 평균 전력에 대응할 수도 있다. 일부 예들에서, RF 생성 시스템 (120) 은 각각 듀티 사이클로 전력 펄스들을 제공하도록 구성된 2 개의 RF 전력 소스들 (예를 들어, 400 ㎒ 전력 소스 및 60 ㎒ 전력 소스) 에 대응할 수도 있다. 이에 따라, 평균 전력은 다음 등식에 따라 계산된 결합된 평균 전력에 대응할 수도 있다:
Figure pct00002
(등식 2)
등식 2에서,
Figure pct00003
는 제 1 전력 소스 (예를 들어, 400 ㎒ 전력 소스) 에 의해 제공된 제 1 전력 펄스 레벨 (예를 들어, ON, 하이, 등) 이고,
Figure pct00004
는 제 2 전력 소스 (예를 들어, 60 ㎒ 전력 소스) 에 의해 제공된 제 1 전력 펄스 레벨이고,
Figure pct00005
는 제 1 전력 소스에 의해 제공된 제 2 전력 펄스 레벨 (예를 들어, OFF, 로우 (low), 등) 이고,
Figure pct00006
는 제 2 전력 소스에 의해 제공된 제 2 전력 펄스 레벨이다.
다른 예들에서, 등식 1은 보정될 수도 있다. 예를 들어, 일 예에서, 온도 계산 모듈 (304) 은 다음 등식에 따라 온도를 계산하도록 구성된다:
Figure pct00007
(등식 3)
등식 3에서, ESCclamp 는 기판 지지부 (200) 에 인가된 클램핑 전압에 대응하고, Tsupply 는 냉각제 공급 온도에 대응하고, 그리고 D 및 E는 보정 계수들에 대응하는 상수들이다 (예를 들어, A, B, 및 C와 유사하다). 즉, 이 예에서, 온도 계산 모듈 (304) 은 클램핑 전압 및 냉각제 공급 온도에 또한 기초하여 온도를 계산한다.
이제 도 4를 참조하면, 본 개시에 따른 기판 지지부의 온도 (예를 들어, 기판 지지부 (200) 의 세라믹 층 (212) 의 온도) 를 계산하기 위한 예시적인 방법 (400) 이 404에서 시작된다. 408에서, 방법 (400) 은 하나 이상의 온도 계산 파라미터들과 기판 지지부의 온도 간 상관관계를 나타내는 데이터를 결정하고 저장한다. 예를 들어, 방법 (400) 은 기판 지지부로 공급된 RF 전력, 기판 지지부로 공급되고 기판 지지부로부터 리턴하는 냉각제의 각각의 온도들, 기판 지지부의 상이한 존들로 공급된 열 전달 가스의 플로우 레이트들, 및 (예를 들어, 온도 센싱 테스트 웨이퍼 및 선형 회귀 모델을 사용하여) 기판 지지부의 측정된 온도들 간 상관관계를 결정할 수도 있다. 방법 (400) 은 상관관계를 나타내는 데이터 (예를 들어, 하나 이상의 등식들, 모델들, 보정 계수들, 등) 를 메모리에 저장한다.
412에서, 방법 (400) 은 기판 지지부 상에 배치된 기판의 프로세싱을 수행한다. 416에서, 방법 (400) (예를 들어, 온도 제어기 (300)) 은 기판 지지부 온도를 나타내는 복수의 값들을 수신한다. 예를 들어, 값들은 기판 지지부로 전달된 전력, 냉각제 공급 온도 및 리턴 온도, 열 전달 가스 플로우 레이트들, 클램핑 전압, 등과 같은 센싱된 값들, 측정된 값들, 그리고/또는 계산된 값들을 포함할 수도 있다. 420에서, 방법 (400) (예를 들어, 온도 제어기 (300)) 은 수신된 값들을 사용하여 온도 계산 파라미터들을 계산한다. 424에서, 방법 (400) (예를 들어, 온도 제어기 (300)) 은 온도 계산 파라미터들 및 온도 계산 파라미터들과 온도 간 상관관계를 나타내는 저장된 데이터를 사용하여 기판 지지부의 온도를 계산한다. 계산된 온도는 (예를 들어, 메모리 (316) 내에) 저장될 수도 있고 그리고/또는 기판의 프로세싱의 제어와 연관된 하나 이상의 파라미터들을 조정하도록 (예를 들어, 열 전달 가스 플로우, 냉각제 플로우, 제공된 RF 전력, 프로세스 가스 플로우, 등의 제어) 사용될 수도 있다.
428에서, 방법 (400) 은 기판의 프로세싱이 완료되었는지 여부를 결정한다. 참이면, 방법 (400) 은 432에서 종료된다. 거짓이면, 방법 (400) 은 416으로 계속된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 웨이퍼의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 기판 프로세싱 시스템의 기판 지지부를 위한 온도 제어기에 있어서,
    기판 지지부로 공급된 전력을 나타내는 전력 파라미터를 계산하도록 구성된 전력 파라미터 모듈;
    상기 기판 지지부로 공급된 냉각제의 온도를 나타내는 냉각제 온도 파라미터를 계산하도록 구성된 냉각제 온도 파라미터 모듈;
    상기 기판 지지부로 공급된 열 전달 가스의 플로우 레이트들을 나타내는 열 전달 가스 파라미터를 계산하도록 구성된 열 전달 가스 파라미터 모듈; 및
    상기 전력 파라미터, 상기 냉각제 온도 파라미터, 및 상기 열 전달 가스 파라미터를 사용하여 상기 기판 지지부의 온도를 계산하도록 구성된 온도 계산 모듈을 포함하는, 온도 제어기.
  2. 제 1 항에 있어서,
    상기 전력 파라미터는 복수의 전력 소스들에 의해 상기 기판 지지부로 공급된 평균 전력에 대응하는, 온도 제어기.
  3. 제 2 항에 있어서,
    상기 전력 파라미터 모듈은 제 1 전력 소스에 의해 공급된 제 1 전력, 제 2 전력 소스에 의해 공급된 제 2 전력, 및 듀티 사이클에 기초하여 상기 전력 파라미터를 계산하도록 구성되는, 온도 제어기.
  4. 제 1 항에 있어서,
    상기 냉각제 온도 파라미터는 상기 기판 지지부로 공급된 상기 냉각제의 제 1 온도와 상기 기판 지지부로부터 리턴하는 (return) 상기 냉각제의 제 2 온도 간의 차에 대응하는, 온도 제어기.
  5. 제 1 항에 있어서,
    상기 열 전달 가스 파라미터는 상기 기판 지지부의 제 1 존로 공급된 상기 열 전달 가스의 제 1 플로우 레이트와 상기 기판 지지부의 제 2 존로 공급된 상기 열 전달 가스의 제 2 플로우 레이트 간의 차에 대응하는, 온도 제어기.
  6. 제 1 항에 있어서,
    상기 온도 계산 모듈은 상기 기판 지지부에 인가된 클램핑 전압에 또한 기초하여 상기 기판 지지부의 상기 온도를 계산하도록 구성되는, 온도 제어기.
  7. 제 1 항에 있어서,
    상기 온도 계산 모듈은 (i) 제 1 보정 계수와 상기 전력 파라미터의 제 1 곱, (ii) 제 2 보정 계수와 상기 냉각제 온도 파라미터의 제 2 곱, 및 (iii) 제 3 보정 계수와 상기 열 전달 가스 파라미터의 제 3 곱의 합에 따라 상기 기판 지지부의 상기 온도를 계산하도록 구성되는, 온도 제어기.
  8. 제 1 항에 있어서,
    (i) 상기 전력 파라미터, 상기 냉각제 온도 파라미터, 및 상기 열 전달 가스 파라미터와 (ii) 상기 기판 지지부의 상기 온도 간의 관계를 나타내는 데이터를 저장하는 메모리를 더 포함하는, 온도 제어기.
  9. 제 8 항에 있어서,
    상기 온도 계산 모듈은 상기 메모리로부터 상기 데이터를 입수하고 (retrieve) 상기 입수된 데이터, 상기 전력 파라미터, 상기 냉각제 온도 파라미터, 및 상기 열 전달 가스 파라미터를 사용하여 상기 기판 지지부의 상기 온도를 계산하도록 구성되는, 온도 제어기.
  10. 제 1 항에 있어서,
    상기 기판 지지부의 상기 계산된 온도는 세라믹 층의 온도에 대응하는, 온도 제어기.
  11. 제 1 항에 있어서,
    상기 온도 제어기는 상기 기판 지지부의 상기 계산된 온도에 기초하여, 상기 냉각제의 플로우 및 상기 열 전달 가스의 상기 플로우 레이트들 중 적어도 하나를 제어하도록 구성되는, 온도 제어기.
  12. 기판 프로세싱 시스템의 기판 지지부의 온도를 제어하는 방법에 있어서,
    기판 지지부로 공급된 전력을 나타내는 전력 파라미터를 계산하는 단계;
    상기 기판 지지부로 공급된 냉각제의 온도를 나타내는 냉각제 온도 파라미터를 계산하는 단계;
    상기 기판 지지부로 공급된 열 전달 가스의 플로우 레이트들을 나타내는 열 전달 가스 파라미터를 계산하는 단계;
    상기 전력 파라미터, 상기 냉각제 온도 파라미터, 및 상기 열 전달 가스 파라미터를 사용하여 상기 기판 지지부의 상기 온도를 계산하는 단계; 및
    상기 기판 지지부의 상기 계산된 온도에 기초하여, 상기 냉각제의 플로우 및 상기 열 전달 가스의 상기 플로우 레이트들 중 적어도 하나를 제어하는 단계를 포함하는, 온도 제어 방법.
  13. 제 12 항에 있어서,
    상기 전력 파라미터는 복수의 전력 소스들에 의해 상기 기판 지지부로 공급된 평균 전력에 대응하는, 온도 제어 방법.
  14. 제 13 항에 있어서,
    상기 전력 파라미터를 계산하는 단계는 제 1 전력 소스에 의해 공급된 제 1 전력, 제 2 전력 소스에 의해 공급된 제 2 전력, 및 듀티 사이클에 기초하여 상기 전력 파라미터를 계산하는 단계를 포함하는, 온도 제어 방법.
  15. 제 12 항에 있어서,
    상기 냉각제 온도 파라미터는 상기 기판 지지부로 공급된 상기 냉각제의 제 1 온도와 상기 기판 지지부로부터 리턴하는 상기 냉각제의 제 2 온도 간의 차에 대응하는, 온도 제어 방법.
  16. 제 12 항에 있어서,
    상기 열 전달 가스 파라미터는 상기 기판 지지부의 제 1 존로 공급된 상기 열 전달 가스의 제 1 플로우 레이트와 상기 기판 지지부의 제 2 존로 공급된 상기 열 전달 가스의 제 2 플로우 레이트 간의 차에 대응하는, 온도 제어 방법.
  17. 제 12 항에 있어서,
    상기 기판 지지부의 상기 온도를 계산하는 단계는 상기 기판 지지부에 인가된 클램핑 전압에 또한 기초하여 상기 기판 지지부의 상기 온도를 계산하는 단계를 포함하는, 온도 제어 방법.
  18. 제 12 항에 있어서,
    상기 기판 지지부의 상기 온도를 계산하는 단계는 (i) 제 1 보정 계수와 상기 전력 파라미터의 제 1 곱, (ii) 제 2 보정 계수와 상기 냉각제 온도 파라미터의 제 2 곱, 및 (iii) 제 3 보정 계수와 상기 열 전달 가스 파라미터의 제 3 곱의 합에 따라 상기 기판 지지부의 상기 온도를 계산하는 단계를 포함하는, 온도 제어 방법.
  19. 제 12 항에 있어서,
    (i) 상기 전력 파라미터, 상기 냉각제 온도 파라미터, 및 상기 열 전달 가스 파라미터와 (ii) 상기 기판 지지부의 상기 온도 간의 관계를 나타내는 데이터를 메모리에 저장하는 단계를 더 포함하는, 온도 제어 방법.
  20. 제 19 항에 있어서,
    상기 메모리로부터 상기 데이터를 입수하는 단계 및 상기 입수된 데이터, 상기 전력 파라미터, 상기 냉각제 온도 파라미터, 및 상기 열 전달 가스 파라미터를 사용하여 상기 기판 지지부의 상기 온도를 계산하는 단계를 더 포함하는, 온도 제어 방법.
KR1020197018993A 2016-12-15 2017-12-14 기판 지지부 온도를 계산하기 위한 시스템 및 방법 KR20190087609A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662434665P 2016-12-15 2016-12-15
US62/434,665 2016-12-15
US15/824,447 2017-11-28
US15/824,447 US10725485B2 (en) 2016-12-15 2017-11-28 System and method for calculating substrate support temperature
PCT/US2017/066406 WO2018112192A1 (en) 2016-12-15 2017-12-14 System and method for calculating substrate support temperature

Publications (1)

Publication Number Publication Date
KR20190087609A true KR20190087609A (ko) 2019-07-24

Family

ID=62559274

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197018993A KR20190087609A (ko) 2016-12-15 2017-12-14 기판 지지부 온도를 계산하기 위한 시스템 및 방법

Country Status (5)

Country Link
US (1) US10725485B2 (ko)
KR (1) KR20190087609A (ko)
CN (1) CN110073480A (ko)
TW (1) TW201835700A (ko)
WO (1) WO2018112192A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020036801A1 (en) * 2018-08-17 2020-02-20 Lam Research Corporation Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
US20220205105A1 (en) * 2019-02-15 2022-06-30 Lam Research Corporation Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5205132A (en) * 1992-06-12 1993-04-27 Thermonics Incorporated Computer-implemented method and system for precise temperature control of a device under test
US5937541A (en) * 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7899637B2 (en) 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
JP2009047600A (ja) * 2007-08-21 2009-03-05 Fujitsu Ltd 温度試験装置、温度試験方法
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
JP5401286B2 (ja) * 2009-12-04 2014-01-29 株式会社日立ハイテクノロジーズ 試料台の温度制御機能を備えた真空処理装置及びプラズマ処理装置
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
DE102010049008B3 (de) * 2010-10-21 2012-04-12 Continental Automotive Gmbh Temperatursensorvorrichtung
JP5980551B2 (ja) * 2011-07-13 2016-08-31 株式会社日立国際電気 温度検出部、基板処理装置、及び半導体装置の製造方法
JP5912439B2 (ja) 2011-11-15 2016-04-27 東京エレクトロン株式会社 温度制御システム、半導体製造装置及び温度制御方法
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US9658629B2 (en) * 2012-03-22 2017-05-23 Seagate Technology Llc Method and apparatus for controlling the temperature of components
US9719865B2 (en) * 2012-11-28 2017-08-01 International Business Machines Corporation Thermally determining flow and/or heat load distribution in parallel paths
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
CN103413007B (zh) * 2013-08-28 2017-02-08 电子科技大学 一种半导体制冷模块优化设计方法
US9508578B2 (en) * 2014-02-04 2016-11-29 Globalfoundries Inc. Method and apparatus for detecting foreign material on a chuck
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
JP6537329B2 (ja) 2015-04-07 2019-07-03 東京エレクトロン株式会社 温度制御装置、温度制御方法およびプログラム

Also Published As

Publication number Publication date
CN110073480A (zh) 2019-07-30
TW201835700A (zh) 2018-10-01
US10725485B2 (en) 2020-07-28
US20180173255A1 (en) 2018-06-21
WO2018112192A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102360248B1 (ko) 상이한 히터 트레이스 재료를 사용한 적층된 히터
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
KR20210008919A (ko) 냉각된 대면 플레이트를 갖는 샤워헤드를 갖는 기판 프로세싱 챔버
JP2023145608A (ja) エッジリング摩耗補償のためのシステムおよび方法
KR20180087145A (ko) 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들
US10725485B2 (en) System and method for calculating substrate support temperature
KR20210038993A (ko) 연장된 수명을 갖는 한정 링
US20180294197A1 (en) System design for in-line particle and contamination metrology for showerhead and electrode parts
JP7454504B2 (ja) 基板処理中の基板温度の決定および制御
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
JP2023519306A (ja) レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
CN114008738B (zh) 用于衬底处理系统的缩小直径承载环硬件
WO2024030307A1 (en) System and method to maintain constant clamping pressure during chamber rebooting and power failure instances
CN115136279A (zh) 用于衬底处理基座的带内翅片的冷却剂通道
CN113795610A (zh) 在处理室中衬底的高温加热