KR20230062519A - 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들 - Google Patents

비말 동반된 증기를 측정하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20230062519A
KR20230062519A KR1020230055512A KR20230055512A KR20230062519A KR 20230062519 A KR20230062519 A KR 20230062519A KR 1020230055512 A KR1020230055512 A KR 1020230055512A KR 20230055512 A KR20230055512 A KR 20230055512A KR 20230062519 A KR20230062519 A KR 20230062519A
Authority
KR
South Korea
Prior art keywords
momentum
outlet
precursor gas
gas
carrier gas
Prior art date
Application number
KR1020230055512A
Other languages
English (en)
Inventor
에릭 에이치. 렌즈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230062519A publication Critical patent/KR20230062519A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Fluid Mechanics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)

Abstract

전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템은 캐리어 가스를 수용하기 위한 유입부 및 유출부를 포함하는 제 1 질량 유량 제어기를 포함한다. 앰플은 전구체 가스를 공급하도록 구성된다. 제 1 질량 유량 제어기 및 앰플과 유체 연통하는, 밸브 시스템은 전구체 가스 및 캐리어 가스를 운동량-기반 (momentum-based) 플로우 제한 부재로 공급하도록 구성된다. 압력 센싱 (sensing) 시스템은 운동량-기반 플로우 제한 부재의 유입부에서의 유입부 압력 및 운동량-기반 플로우 제한 부재의 유출부에서의 유출부 압력을 센싱하도록 구성된다. 제어기는 유입부 압력과 유출부 압력 사이의 차이에 기초하여 운동량-기반 플로우 제한 부재의 유출부에서의 전구체 가스의 플로우 레이트를 결정하도록 구성된다.

Description

비말 동반된 증기를 측정하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR MEASURING ENTRAINED VAPOR}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 시스템 내에서 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 상의 막의 애싱 (ashing), 증착 및/또는 에칭을 수행하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 페데스탈, 정전 척, 플레이트, 등과 같은 기판 지지부를 가진 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 구성될 수도 있다. CVD (chemical vapor deposition) 프로세스들에서, 하나 이상의 전구체들을 포함하는 가스 혼합물은, 기판 상에 막을 증착하도록 프로세싱 챔버 내로 도입될 수도 있다. 일부 기판 프로세싱 시스템들에서, 플라즈마는 화학 반응들을 활성화시키도록 사용될 수도 있다.
고품질 막을 얻기 위해서, 목표된 농도를 가진 전구체 가스를 프로세싱 챔버로 전달하는 것이 중요하다. 전구체는 전구체 가스를 제공하도록 캐리어 가스로 기화되는 액체 또는 승화되는 고체일 수도 있다. 일부 기판 프로세싱 시스템들에서, 전구체 플로우는 질량 유량 센서를 사용하여 측정될 수도 있다. 그러나, 질량 유량 센서들은 통상적으로 사용될 수도 있는 고온, 저압, 및/또는 저압력 강하들을 가진 이슈들을 가진다. 가스 농도를 측정하는 다른 방법들은, IR (infrared) 센서들, FTIR (Fourier Transform Infrared) 분광계들, 및 이온 질량 분광계들을 포함한다. 그러나, 이들 방법들은 통상적으로 고비용이 들거나 전구체 가스의 농도를 특징으로 하는 신호를 생성하지 않는다.
전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템은, 캐리어 가스를 수용하기 위한 유입부 및 유출부를 포함하는 제 1 질량 유량 제어기를 포함한다. 앰플은 전구체 가스를 공급하도록 구성된다. 운동량-기반 (momentum-based) 플로우 제한 부재는 유입부 및 유출부를 포함한다. 제 1 질량 유량 제어기 및 앰플과 유체로 연통하는, 밸브 시스템은 전구체 가스 및 캐리어 가스를 운동량-기반 플로우 제한 부재에 공급하도록 구성된다. 압력 센싱 (sensing) 시스템은 운동량-기반 플로우 제한 부재의 유입부에서의 유입부 압력 및 운동량-기반 플로우 제한 부재의 유출부에서의 유출부 압력을 센싱하도록 구성된다. 제어기는 유입부 압력과 유출부 압력 사이의 차이에 기초하여 운동량-기반 플로우 제한 부재의 유출부에서의 전구체 가스의 플로우 레이트를 결정하도록 구성된다.
다른 특징들에서, 제어기는 운동량-기반 플로우 제한 부재의 유출부에서의 전구체 가스의 플로우 레이트를 제어하기 위해, 전구체 가스의 플로우 레이트와 압력 차이 사이의 사전결정된 관계에 기초하여, 제 1 질량 유량 제어기에 의해 공급된 캐리어 가스의 플로우 레이트를 조정하도록 구성된다.
다른 특징들에서, 히터는 앰플을 가열한다. 제어기는 운동량-기반 플로우 제한 부재의 유출부에서의 전구체 가스의 플로우 레이트를 제어하도록 히터를 선택적으로 조정한다. 운동량-기반 플로우 제한 부재는 제한된 오리피스 (restricted orifice) 를 포함한다.
다른 특징들에서, 밸브 시스템은 제 1 질량 유량 제어기의 유출부를 앰플의 유입부에 선택적으로 연결하는 제 1 밸브, 제 1 질량 유량 제어기의 유출부를 운동량-기반 플로우 제한 부재의 유입부에 선택적으로 연결하는 제 2 밸브, 및 앰플의 유출부를 운동량-기반 플로우 제한 부재의 유입부에 선택적으로 연결하는 제 3 밸브를 포함한다.
다른 특징들에서, 압력 센싱 시스템은 운동량-기반 플로우 제한 부재의 유입부와 통신하는 제 1 압력 센서를 포함한다. 제 2 센서는 운동량-기반 플로우 제한 부재의 유출부와 통신한다.
다른 특징들에서, 압력 센싱 시스템은 압력 센서, 압력 센서를 운동량-기반 플로우 제한 부재의 유입부에 선택적으로 연결하기 위한 제 1 밸브, 및 압력 센서를 운동량-기반 플로우 제한 부재의 유출부에 선택적으로 연결하기 위한 제 2 밸브를 포함한다.
다른 특징들에서, 캐리어 가스는 제 1 밀도를 갖고 전구체 가스는 제 2 밀도를 갖는다. 제 2 밀도는 제 1 밀도의 9배보다 크다. 캐리어 가스는 아르곤을 포함하고 전구체 가스는 텅스텐 펜타클로라이드 (pentachloride) 및 텅스텐 헥사클로라이드 (hexachloride) 로 구성된 그룹으로부터 선택된다.
다른 특징들에서, 제 2 질량 유량 제어기는 제 1 질량 유량 제어기의 유입부와 통신하는 유입부 및 운동량-기반 플로우 제한 부재의 유출부와 통신하는 유출부를 포함한다. 제어기는 제 1 질량 유량 제어기로의 캐리어 가스의 플로우 레이트를 제어함으로써 기판 프로세싱 챔버로의 전구체 가스의 플로우 레이트를 조정하도록 그리고 제 1 질량 유량 제어기로의 캐리어 가스의 플로우 레이트의 변화들에 응답하여 기판 프로세싱 챔버로 제 2 질량 유량 제어기에 의해 공급된 캐리어 가스의 플로우 레이트를 가변하도록 구성된다.
전구체 가스를 기판 프로세싱 챔버에 공급하기 위한 방법은, 제 1 질량 유량 제어기를 사용하여 캐리어 가스를 공급하는 단계; 앰플을 사용하여 전구체 가스를 공급하는 단계; 전구체 가스 및 캐리어 가스를 유입부 및 유출부를 포함하는 운동량-기반 플로우 제한 부재에 공급하는 단계; 운동량-기반 플로우 제한 부재의 유입부에서의 유입부 압력 및 운동량-기반 플로우 제한 부재의 유출부에서의 유출부 압력을 센싱하는 단계; 및 유입부 압력과 유출부 압력 사이의 압력 차이 및 압력 차이와 전구체 가스의 플로우 레이트 사이의 사전결정된 관계에 기초하여 기판 프로세싱 챔버로의 전구체 가스의 플로우 레이트를 결정하는 단계를 포함한다.
다른 특징들에서, 방법은 운동량-기반 플로우 제한 부재의 유출부에서의 전구체 가스의 플로우 레이트를 제어하도록, 전구체 가스의 결정된 플로우 레이트에 기초하여, 제 1 질량 유량 제어기에 의해 공급된 캐리어 가스의 플로우 레이트를 조정하는 단계를 포함한다.
다른 특징들에서, 방법은 운동량-기반 플로우 제한 부재의 유출부에서의 전구체 가스의 플로우 레이트를 제어하도록, 전구체 가스의 계산된 플로우 레이트에 기초하여, 앰플의 온도를 조정하는 단계를 포함한다.
다른 특징들에서, 제한된 오리피스는 운동량-기반 플로우 제한 부재를 포함한다.
다른 특징들에서, 전구체 가스 및 캐리어 가스를 공급하는 단계는, 제 1 질량 유량 제어기의 유출부를 앰플에 선택적으로 연결하는 제 1 밸브를 사용하는 단계; 제 1 질량 유량 제어기의 유출부를 운동량-기반 플로우 제한 부재에 선택적으로 연결하는 제 2 밸브를 사용하는 단계; 및 앰플을 운동량-기반 플로우 제한 부재에 선택적으로 연결하는 제 3 밸브를 사용하는 단계를 포함한다.
다른 특징들에서, 유입부 압력 및 유출부 압력을 센싱하는 단계는, 운동량-기반 플로우 제한 부재의 유입부와 통신하는 제 1 압력 센서를 구성하는 단계; 및 운동량-기반 플로우 제한 부재의 유출부와 통신하는 제 2 압력 센서를 구성하는 단계를 포함한다.
다른 특징들에서, 유입부 압력 및 유출부 압력을 센싱하는 단계는, 압력 센서를 운동량-기반 플로우 제한 부재의 유입부에 선택적으로 연결하고 유입부 압력을 센싱하는 단계; 및 압력 센서를 운동량-기반 플로우 제한 부재의 유출부에 선택적으로 연결하고 유출부 압력을 센싱하는 단계를 포함한다.
다른 특징들에서, 캐리어 가스는 제 1 밀도를 갖고, 전구체 가스는 제 2 밀도를 갖는다. 제 2 밀도는 제 1 밀도의 9배보다 크다. 캐리어 가스는 아르곤을 포함하고 전구체 가스는 텅스텐 펜타클로라이드 및 텅스텐 헥사클로라이드로 구성된 그룹으로터 선택된다.
다른 특징들에서, 방법은 제 1 질량 유량 제어기의 유입부와 통신하는 제 2 질량 유량 제어기의 유입부 및 운동량-기반 플로우 제한 부재의 유출부와 통신하는 제 2 질량 유량 제어기의 유출부를 구성하는 단계; 제 1 질량 유량 제어기의 플로우 레이트를 조정함으로써 기판 프로세싱 챔버로의 전구체 가스의 플로우 레이트를 가변하는 단계; 및 제 1 질량 유량 제어기의 플로우 레이트에 대한 변화들에 응답하여 기판 프로세싱 챔버로 제 2 질량 유량 제어기에 의해 공급된 캐리어 가스의 플로우 레이트를 가변하는 단계를 포함한다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 예시의 목적들만을 위해 의도되고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른, 기판 프로세싱 시스템의 예의 기능 블록도이다.
도 2는 본 개시에 따른, 가스 전달 시스템의 부분의 예의 기능 블록도이다.
도 3a 내지 도 3c는 본 개시에 따른, 전구체 가스 전달 시스템의 예들의 기능 블록도들이다.
도 4는 압력 강하와 전구체 플로우 사이의 관계의 예의 그래프이다.
도 5는 본 개시에 따른, 전구체 가스 전달 시스템의 예의 기능 블록도이다.
도 6은 본 개시에 따른, 비말 동반된 증기의 플로우를 측정하기 위한 방법의 단계들을 예시하는 흐름도이다.
도면들에서, 참조 부호들은 유사하고 및/또는 동일한 엘리먼트들 (element) 을 식별하도록 재사용될 수도 있다.
관련 출원들의 상호-참조
본 출원은 2014년 10월 7일 출원된, 미국 가출원 제 62/060,718 호의 이점을 주장한다. 상기 참조되는 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시는 기판 프로세싱 시스템에서 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들을 기술한다. 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들은, 캐리어 가스의 플로우를 제어하도록 질량 유량 제어기를 사용한다. 캐리어 가스는 전구체 재료를 포함하는 앰플을 통해 그리고 이어서 운동량-기반 플로우 제한 부재를 통해 흐른다. 일부 예들에서, 운동량-기반 플로우 제한 부재는 제한된 오리피스를 포함한다. 전구체는 저압력 강하 및 고온을 사용하여 캐리어 가스로 기화되는 액체 또는 승화되는 고체일 수도 있다. 시스템들 및 방법들은 운동량-기반 플로우 제한 부재에 걸쳐 압력 강하를 측정한다. 압력 강하는 캐리어 가스에 추가되는 전구체 가스의 양을 결정하도록 사용된다.
이제 도 1을 참조하면, 기판 프로세싱 시스템 (10) 의 예가 도시된다. PE (plasma-enhanced) CVD 툴의 예가 논의 목적들을 위해 도시될 것이지만, 본 개시는 전구체 가스의 전달을 필요로 하는, CVD, ALD (atomic layer deposition), PEALD 등과 같은 다른 프로세스들에 적용된다. 기판 프로세싱 시스템들은 플라즈마가 있거나 플라즈마 없이 동작할 수도 있다. 기판 프로세싱 시스템 (10) 은 프로세싱 챔버 (12) 를 포함한다. 가스는 가스 분배 디바이스 (14) 를 사용하여 프로세싱 챔버 (12) 에 공급될 수도 있다. 일부 예들에서, 가스 분배 디바이스 (14) 는 기판 또는 다른 디바이스를 향하는 (face) 복수의 홀들을 포함하는 샤워헤드를 포함할 수도 있다. 반도체 웨이퍼와 같은 기판 (18) 은 프로세싱 동안 기판 지지부 (16) 상에 구성될 수도 있다. 기판 지지부 (16) 는 페데스탈, 정전 척, 기계 척 또는 다른 타입의 기판 지지부를 포함할 수도 있다.
가스 전달 시스템 (20) 은 가스 혼합물을 프로세싱 챔버 (12) 에 공급하는, 매니폴드 (30) 에 하나 이상의 가스들을 공급할 수도 있다. 대안적으로, 가스는 프로세싱 챔버 (12) 에 직접 공급될 수 있다. 제어기 (40) 는 (센서들 (41) 을 사용하여) 온도, 압력 등과 같은 프로세스 파라미터들을 모니터링하고 프로세스 타이밍을 제어하도록 사용될 수도 있다. 제어기 (40) 는 가스 전달 시스템 (20), 페데스탈 히터 (42), 및/또는 플라즈마 생성기 (46) 와 같은 프로세스 디바이스들을 제어하도록 사용될 수도 있다. 제어기 (40) 는 또한 밸브 (50) 및 펌프 (52) 를 사용하여 프로세싱 챔버 (12) 를 배기하도록 사용될 수도 있다. 제어기 (40) 는 또한 도 3a 내지 도 3c, 도 5 및 도 6에 도시된 전구체 가스 전달 시스템을 제어하도록 사용될 수도 있다.
플라즈마 생성기 (46) 는 프로세싱 챔버 내에서 플라즈마를 생성한다. 플라즈마 생성기 (46) 는 유도-타입 플라즈마 생성기 또는 용량-타입 플라즈마 생성기일 수도 있다. 일부 예들에서, 플라즈마 생성기 (46) 는 RF 전력 공급부 (60) 및 매칭 및 분배 네트워크 (64) 를 포함할 수도 있다. 플라즈마 생성기 (46) 가 접지되거나 플로팅하는 (float) 페데스탈을 사용하여 가스 분배 디바이스 (14) 에 연결된 것으로 도시되지만, 플라즈마 생성기 (46) 는 기판 지지부 (16) 에 연결될 수 있고, 가스 분배 디바이스 (14) 는 접지되거나 플로팅할 수 있다. 플라즈마가 프로세싱 챔버 내에서 생성되는 것으로 도시되지만, 플라즈마는 원격으로 생성될 수도 있다. RF 플라즈마가 도시되지만, 마이크로파 플라즈마가 사용될 수도 있다.
이제 도 2를 참조하면, 가스 전달 시스템 (20) 은 하나 이상의 밸브들 (124) 및 하나 이상의 질량 유량 제어기들 (126) 을 사용하여 하나 이상의 가스 소스들 (122) 로부터 가스를 공급할 수도 있다. 대안적으로, 다른 플로우 제어 디바이스들은, 가스 혼합물을 프로세싱 챔버 (12) 에 공급하는, 매니폴드 (30) 로 전구체 가스들, 반응성 가스들, 비활성 가스들, 퍼지 가스들, 및 그것들의 혼합물들을 제어 가능하게 공급하도록 사용될 수도 있다.
이제 도 3a를 참조하면, 가스 전달 시스템 (20) 은 하나 이상의 전구체들을 매니폴드 (30) 를 통해 및/또는 직접 프로세싱 챔버 (12) 로 전달하도록 전구체 전달 시스템 (150) 을 더 포함할 수도 있다. 전구체 전달 시스템 (150) 은 캐리어 가스를 질량 유량 제어기 (MFC) (154) 에 공급하는 캐리어 가스 소스 (152) 를 포함한다.
밸브 시스템 (157) 은 캐리어 가스 또는 캐리어 가스 중 어느 하나 및 전구체 가스를 공급한다. 일부 예들에서, 밸브 시스템 (157) 은 MFC (154) 의 유출부를 앰플 (160) 의 유입부에 선택적으로 연결하는 제 1 밸브 (158) 를 포함한다. 액체 전구체 또는 고체 전구체는 앰플 (160) 내에 위치된다. 밸브 (162) 는 앰플 (160) 의 유출부를 운동량-기반 플로우 제한 부재 (164) 의 유입부에 선택적으로 연결한다. 밸브 (166) 는 질량 유량 제어기 (154) 를 운동량-기반 플로우 제한 부재 (164) 의 유입부에 선택적으로 연결한다.
압력 센싱 시스템 (169) 은 운동량-기반 플로우 제한 부재 (164) 의 유입부 및 유출부에서 압력을 측정한다. 일부 예들에서, 압력 센싱 시스템 (169) 은 운동량-기반 플로우 제한 부재 (164) 의 유입부에서의 압력을 센싱하는 제 1 압력 센서 (170) 및 운동량-기반 플로우 제한 부재 (164) 의 유출부에서의 압력을 센싱하는 제 2 압력 센서 (174) 를 포함한다. 히터 (180) 는 앰플 (160) 내의 전구체를 가열하도록 제공될 수도 있다.
이제 도 3b 및 도 3c를 참조하면, 압력을 측정하는 다른 방식들의 예들이 도시된다. 예를 들어, 도 3b에서, 압력 센서 (170) 는 밸브들 (186 및 188) 에 의해 운동량-기반 플로우 제한 부재 (164) 의 유입부 또는 유출부 (또는 둘 중 어느 것도 아님) 에 선택적으로 연결될 수 있다. 이 방법은 요구되는 컴포넌트들 (component) 의 수를 감소시킨다. 도 3c에서, 밸브들 (190 및 192) 은 라인들을 압력 센서(들)에 선택적으로 연결, 연결해제 또는 퍼지할 수 있다. 유사한 방법이 도 3b의 시스템에 대해 사용될 수 있다.
이제 도 4를 참조하면, 60 Torr의 압력으로 동작하고 0.1 inch 오리피스를 사용하는 프로세싱 챔버에서 압력 센서들 사이의 예측된 압력 차이를 도시하는 그래프가 도시된다. 그래프는 압력 강하와 전구체 플로우 사이의 관계를 보여준다. 압력 강하는 전구체 플로우의 상대적으로 작은 변화들과 함께 변화한다.
일부 예들에서, 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들은, 고온 사용을 허용하도록 2 개의 압력 센서들 및 운동량-기반 플로우 제한 부재를 채용한다. 일부 예들에서, 전구체 가스보다 훨씬 더 낮은 질량 값을 가진 캐리어 가스를 사용하는 것은, 전구체에 대해 높은 분해능 (high resolution) 을 가진 저압력 강하를 허용한다. 제어기 (40) 는 압력 센서들 (170 및 174) 의 출력들 및 도 4에 도시된 것과 같은 저장된 관계에 기초하여 압력 강하를 결정한다.
일부 예들에서, 앰플 내의 동작 압력들은 약 10 torr 내지 100 torr 이지만, 다른 압력들이 사용될 수도 있다. 일부 예들에서, 프로세싱 챔버 압력은 5 torr 내지 60 torr 이지만, 다른 압력들이 사용될 수도 있다. 오리피스 사이즈들은 요구할 전구체 가스 및 캐리어 가스가 얼마나 많은지 그리고 앰플 및 프로세싱 챔버 내에서 사용되는 압력들에 따라 통상적으로 결정된다. 일부 예들에서, 운동량-기반 플로우 제한 부재는 0.050" 내지 0.020"의 제한된 오리피스를 포함하지만, 다른 사이즈들이 사용될 수도 있다.
일부 예들에서, WCl5 또는 WCl6는 전구체 가스로서 사용되고 앰플의 온도는 135 ℃ 내지 190 ℃ 사이에서 가변할 것이지만, 다른 전구체 가스 및 앰플 온도들이 사용될 수도 있다. 일부 예들에서, 앰플의 온도는 앰플 내에 남아있는 재료의 변화들에 기인하여 앰플의 가변하는 출력을 보상하도록 (make up for) 가변된다. 일부 예들에서, 앰플의 온도는 플로우를 유지하도록 풀 (full) 상태와 (새로 충진된 앰플 상의 출력의 약 100 % 변화에 이르는) 부분적으로 빈 상태 사이에서 약 10 ℃ 가변된다.
운동량-기반 플로우 제한 부재는 압력 강하가 캐리어 가스에 대해 낮지만, 전구체 가스 플로우를 픽업하는데 (pick up) 충분히 작도록, 사이징될 (size) 수 있다. 예를 들어, 캐리어 가스로서 분자 수소를 사용함으로써, 5 sccm의 텅스텐 헥사클로라이드 (WCl6) 와 함께 1000 sccm의 분자 수소는, 1000 sccm의 분자 수소 단독의 압력 강하의 2배를 가질 것이지만, 다른 온도 차이들이 사용될 수도 있다.
일 예에서, 캐리어 가스 내의 승화된 WCl6의 양은, 200 ℃에서의 실질적인 압력 강하 없이 결정된다. WCl6가 396 개의 원자 질량 단위 (amu: atomic mass unit) 를 갖기 때문에, 소량의 WCl6는, 운동량-기반 플로우 제한 부재에서의 압력 강하가 WCl6의 양을 계산하는데 충분하게 상당히 증가하도록, 캐리어 가스의 밀도를 증가시킬 것이다. 캐리어 가스로서 아르곤을 사용하면, WCl6와 함께 질량 면에서 약 10 배의 차이가 있다. 그러나, 캐리어 가스로서 분자 수소 그리고 전구체로서 WCl6를 사용하면, 질량 면에서 200 배의 차이가 있다. 396 대신에 360의 amu를 갖는, 텅스텐 펜타클로라이드 (WCl5) 를 사용할 때는, 캐리어 가스로서 아르곤을 사용할 때와 비교하면 약 9 내지 1의 밀도 차이가 있다. 그러나, 장치 (arrangement) 는 보다 높은 앰플 압력들을 사용하여 여전히 작동한다 (work).
분자 수소가 캐리어 가스로서 사용될 때, 꽤 작은 운동량-기반 플로우 제한 부재가 사용될 수 있다. WCl6 혼합물이 추가될 때, 상대적으로 고압력 강하가 분자 수소 가스의 저압력 강하에 기인하여 발생할 것이다. 통상적으로 다른 센싱 기술들 대부분에서 문제가 있는, 고온 동작은 운동량-기반 플로우 제한기에 있어서 문제가 없다.
라미나 (laminar) 압력 강하 (Pdrop_l) 는 점도 (v) 및 플로우 레이트 (μ) 에 따라 가변한다. 즉, Pdrop_l
Figure pat00001
μv
Figure pat00002
vM0.5
Figure pat00003
M0.5이기 때문에), 여기서 M은 질량이다. 운동량 압력 강하 (Pdrop_m) 는 플로우 레이트 제곱 v2 및 질량 (M) 에 따라 가변한다. 즉, Pdrop_m
Figure pat00004
Mv2이다.
일 예에서, 분자 사이즈 및 서더랜드 (Sutherland) 상수의 효과를 무시하고, 분자 수소의 1000 sccm에서, WCl6의 100 sccm을 추가하는 것은, 라미나 플로우에 대한 압력 강하를 분자 수소 단독보다 4.7 더 높은 압력 강하에 대응하는, ((1000*2+100*396)/1100/2)0.5*(1100/1000) 로 변화시킨다. 제한된 오리피스와 같은 운동량-기반 압력 강하에 대해, 압력 강하는 분자 수소 단독의 압력 강하의 22.9배인, (1000*2+100*396)/1100/2*(1100/1000)2에 대응한다.
Ar의 1000 sccm에서, 다시 분자 사이즈 및 서더랜드 상수의 효과를 무시하고, WCl6의 100 sccm을 추가하는 것은, 라미나 플로우를 Ar 단독보다 1.47 더 높은 압력 강하에 대응하는, ((1000*40+100*396)/1100/40)0.5*(1100/1000) 로 변화시킨다. 제한된 오리피스와 같은 운동량-기반 압력 강하에 대해, 압력 강하는 Ar 단독보다 2.19 더 높은 압력 강하에 대응하는, (1000*40+100*396)/1100/40*(1100/1000)2에 대응한다.
따라서, 전구체로서 WCl6, 제한된 오리피스와 같은 운동량-기반 압력 강하 그리고 캐리어 가스로서 분자 수소를 사용하여, 플로우의 변화들과 함께 압력 강하에 있어서 상대적으로 높은 변화가 있다. 운동량-기반 플로우 제한 부재의 사이즈는 충분한 정확도를 제공하도록 선택될 수 있다.
프로세스 가스보다 낮은 질량 수를 가진 캐리어 가스를 사용하는 것은, 작은 제한부가 사용될 때 압력 분해능을 개선한다. 캐리어 가스를 사용하여 캘리브레이팅하는 (calibrate) 것은, 측정될 실제 압력 값들에서의 압력 측정을 개선하고, 압력계 드리프트 (drift) 를 보상하고, 그리고 플로우 측정의 정확도를 개선한다.
(제한된 오리피스와 같은) 운동량 기반인 플로우 제한 부재를 사용하는 것은, 캐리어 가스와 전구체 가스를 가진 캐리어 가스 사이의 압력 강하 차이를 개선한다. 본 명세서에 기술된 시스템들 및 방법들은 (도 3a 내지 도 3c에 도시된 밸브 장치들 또는 퍼징이 있거나 없이) 압력 센서들의 정격 (rated) 온도에서 동작할 수 있다. 비용은 압력 센싱 장비의 보다 낮은 비용에 기인하여 다른 시스템들에 비해 절약된다.
본 명세서에 기술된 시스템들 및 방법들은 전구체 가스 출력을 모니터링하는 수동 시스템들 또는 전구체 전달 변화들을 보상하도록 캐리어 가스 플로우를 변화시키는 능동 시스템들 중 하나일 수 있다. 전구체의 플로우 레이트를 가변하도록, 캐리어 가스 플로우는 MFC (154) 에 의해 및/또는 히터 (180) 를 사용하여 앰플 (160) 의 온도를 가변함으로써 가변될 수 있다. 앰플 (160) 의 온도를 증가시키는 것은, 전구체가 승화되거나 기화되는 레이트를 증가시킨다.
대안적으로, 도 5에 도시된 또 다른 예에서, 앰플 (160) 을 통한 캐리어 가스 플로우는 MFC (212) 를 사용하여 가변된다. 제 2 MFC (214) 는 캐리어 가스의 메이크업 (make up) 플로우를 제공하고 그래서 캐리어 가스의 플로우의 총량은 동일하다. 압력 센서들 (170 및 174) 은 앰플 (160) 로부터의 플로우를 측정한다. 다른 캐리어 가스는 측정 후 추가된다.
일부 예들에서, 캐리어 가스는 승화되거나 기화된 전구체 가스에 대해 큰 밀도 차이를 제공하도록 선택된다. 일부 예들에서, 캐리어 가스와 전구체 가스 사이의 밀도의 차이는 9배보다 크다. 다른 예들에서, 캐리어 가스와 전구체 사이의 밀도의 차이는 25배, 50배, 100배 또는 200배 또는 그 이상보다 크다.
예를 들어, WCl6가 상대적으로 밀집한 전구체이기 때문에, 아르곤은 여전히 밀도 면에서 충분한 차이를 제공하면서 캐리어 가스로서 사용될 수 있다. 밀도의 보다 높은 차이는 캐리어 가스로서 분자 수소를 사용함으로써 WCl6 전구체를 사용하여 달성될 수 있다. 그러나, 보다 경량의 전구체들에 대해, 헬륨 또는 수소는 밀도의 충분한 차이를 제공하도록 사용될 수도 있다.
이제 도 6을 참조하면, 프로세스로의 전구체의 플로우를 제어하기 위한 방법 (310) 이 도시된다. 312에서, 전구체 가스는 프로세스를 위해 선택된다. 314에서, 캐리어 가스는 전구체 가스보다 상당히 낮은 원자 질량 단위를 갖도록 선택된다. 318에서, 운동량-기반 플로우 제한 부재의 사이즈는 캐리어 가스에 저압력 강하를 제공하도록 선택되지만, 전구체의 측정 정확도에 있어서 충분하다. 320에서, 압력계들은 캐리어 가스 플로우를 사용하여 캘리브레이팅된다. 322에서, 제어기는 전구체의 실제 플로우 레이트를 결정하도록 동작 동안 운동량-기반 플로우 제한 부재에 걸쳐 압력 강하를 모니터링한다. 326에서, 실제 플로우 레이트는 목표된 플로우 레이트와 비교된다. 실제 플로우 레이트가 (사전결정된 범위 또는 윈도우 내에서와 같이) 목표된 플로우 레이트와 동일하지 않다면, 플로우 레이트는 본 명세서에 기술된 바와 같이 앰플의 열을 조정하는 것 또는 캐리어 가스 플로우를 조정하는 것과 같이 임의의 적합한 기법을 사용하여 330에서 조정된다. 제어는 322로부터 복귀한다. 실제 플로우 레이트가 326에서 목표된 플로우 레이트와 동일하다면, 제어는 프로세스가 334에서 완료되었는 지의 여부를 결정한다. 거짓이라면, 제어는 322로 복귀한다. 그렇지 않다면, 제어는 종료된다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어서 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어서, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어서 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어서 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어서, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (24)

  1. 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템에 있어서,
    유입부 및 유출부를 포함하는 운동량-기반 (momentum-based) 플로우 제한 부재로서, 상기 유입부는 전구체 가스 및 캐리어 가스를 포함하는 가스 혼합물을 수용하도록 구성되고, 그리고 상기 전구체 가스는 상기 유출부에서 수용되기 전에 상기 캐리어 가스에 추가되는, 상기 운동량-기반 플로우 제한 부재;
    상기 운동량-기반 플로우 제한 부재의 상기 유입부에서의 유입부 압력 및 상기 운동량-기반 플로우 제한 부재의 상기 유출부에서의 유출부 압력을 센싱하도록 (sense) 구성된 압력 센싱 시스템; 및
    상기 운동량-기반 플로우 제한 부재를 통한 상기 가스 혼합물의 통과로 인한 상기 유입부 압력과 상기 유출부 압력 사이의 압력 차이를 결정하고, 그리고 상기 압력 차이에 기초하여, 상기 캐리어 가스에 추가된 상기 전구체 가스의 양을 결정하도록 구성된 제어기를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 전구체 가스를 상기 캐리어 가스에 추가하기 위한 앰플을 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  3. 제 2 항에 있어서,
    상기 앰플을 가열하는 히터를 더 포함하고,
    상기 제어기는 상기 운동량-기반 플로우 제한 부재의 상기 유출부에서의 상기 전구체 가스의 플로우 레이트를 제어하도록 상기 히터를 선택적으로 조정하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  4. 제 2 항에 있어서,
    제 1 질량 유량 제어기; 및
    제 1 밸브를 더 포함하고,
    상기 제어기는, 상기 제 1 질량 유량 제어기 및 상기 제 1 밸브를 통해, 상기 앰플로의 상기 캐리어 가스의 플로우를 제어하도록 구성되는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  5. 제 4 항에 있어서,
    상기 제 1 밸브를 포함하는 복수의 밸브들을 더 포함하고,
    상기 제어기는, 상기 복수의 밸브들을 통해, 상기 전구체 가스 및 상기 캐리어 가스를 상기 운동량-기반 플로우 제한 부재로 공급하도록 구성되는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  6. 제 4 항에 있어서,
    상기 제 1 질량 유량 제어기의 유출부를 상기 운동량-기반 플로우 제한 부재의 상기 유입부에 선택적으로 연결하는 제 2 밸브; 및
    상기 앰플의 유출부를 상기 운동량-기반 플로우 제한 부재의 상기 유입부에 선택적으로 연결하는 제 3 밸브를 더 포함하고,
    상기 제 1 밸브는 상기 제 1 질량 유량 제어기의 상기 유출부를 상기 앰플의 유입부에 선택적으로 연결하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  7. 제 4 항에 있어서,
    상기 제 1 질량 유량 제어기의 유입부와 연통하는 유입부, 및 상기 운동량-기반 플로우 제한 부재의 상기 유출부와 연통하는 유출부를 포함하는 제 2 질량 유량 제어기를 더 포함하고,
    상기 제어기는,
    상기 제 1 질량 유량 제어기에 의해 공급된 상기 캐리어 가스의 플로우 레이트를 제어함으로써 상기 기판 프로세싱 챔버로 공급된 상기 전구체 가스의 플로우 레이트를 조정하고, 그리고
    상기 제 1 질량 유량 제어기에 의해 공급된 상기 캐리어 가스의 상기 플로우 레이트의 변화들에 응답하여 상기 제 2 질량 유량 제어기에 의해 상기 기판 프로세싱 챔버로 공급된 상기 캐리어 가스의 상기 플로우 레이트를 가변시키도록 구성되는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  8. 제 4 항에 있어서,
    상기 제어기는, 상기 전구체 가스의 플로우 레이트와 상기 압력 차이 사이의 사전결정된 관계에 기초하여, 상기 제 1 질량 유량 제어기에 의해 공급된 상기 캐리어 가스의 플로우 레이트를 조정함으로써 상기 운동량-기반 플로우 제한 부재의 상기 유출부에서 상기 전구체 가스의 플로우 레이트를 제어하도록 구성되는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  9. 제 1 항에 있어서,
    상기 제어기는 상기 압력 차이에 기초하여 상기 운동량-기반 플로우 제한 부재의 상기 유출부에서 상기 전구체 가스의 플로우 레이트를 결정하도록 구성되는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  10. 제 1 항에 있어서,
    상기 운동량-기반 플로우 제한 부재는 제한된 오리피스 (restricted orifice) 를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  11. 제 1 항에 있어서,
    상기 캐리어 가스는 제 1 밀도를 갖고;
    상기 전구체 가스는 제 2 밀도를 갖고; 그리고
    상기 제 2 밀도는 상기 제 1 밀도의 9 배보다 더 큰, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  12. 제 1 항에 있어서,
    상기 캐리어 가스는 수소 또는 아르곤을 포함하고 그리고 상기 전구체 가스는 텅스텐 펜타클로라이드 (pentachloride) 또는 텅스텐 헥사클로라이드 (hexachloride) 를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 시스템.
  13. 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법에 있어서,
    운동량-기반 플로우 제한 부재의 유입부에서 전구체 가스 및 캐리어 가스를 포함하는 가스 혼합물을 수용하는 단계로서, 상기 전구체 가스는 상기 운동량-기반 플로우 제한 부재의 유출부에서 수용되기 전에 상기 캐리어 가스에 추가되는, 상기 가스 혼합물을 수용하는 단계;
    상기 운동량-기반 플로우 제한 부재의 상기 유입부에서의 유입부 압력을 센싱하는 단계;
    상기 운동량-기반 플로우 제한 부재의 상기 유출부에서의 유출부 압력을 센싱하는 단계;
    상기 운동량-기반 플로우 제한 부재를 통한 상기 가스 혼합물의 통과로 인한 상기 유입부 압력과 상기 유출부 압력 사이의 압력 차이를 결정하는 단계; 및
    상기 압력 차이에 기초하여, 상기 캐리어 가스에 추가된 상기 전구체 가스의 양을 결정하는 단계를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 전구체 가스를 앰플을 통해 상기 캐리어 가스에 추가하는 단계를 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  15. 제 14 항에 있어서,
    히터를 통해 상기 앰플을 가열하는 단계; 및
    상기 운동량-기반 플로우 제한 부재의 상기 유출부에서의 상기 전구체 가스의 플로우 레이트를 제어하도록 상기 히터를 선택적으로 조정하는 단계를 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  16. 제 14 항에 있어서,
    상기 앰플로의 상기 캐리어 가스의 플로우를 조정하기 위해 제 1 질량 유량 제어기 및 제 1 밸브를 제어하는 단계를 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 전구체 가스 및 상기 캐리어 가스를 상기 운동량-기반 플로우 제한 부재로 공급하도록 복수의 밸브들의 상태들을 제어하는 단계를 더 포함하고, 상기 복수의 밸브들은 상기 제 1 밸브를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  18. 제 16 항에 있어서,
    상기 제 1 질량 유량 제어기의 유출부를 제 2 밸브를 통해 상기 운동량-기반 플로우 제한 부재의 상기 유입부에 선택적으로 연결하는 단계;
    상기 앰플의 유출부를 제 3 밸브를 통해 상기 운동량-기반 플로우 제한 부재의 상기 유입부에 선택적으로 연결하는 단계; 및
    상기 제 1 질량 유량 제어기의 상기 유출부를 상기 제 1 밸브를 통해 상기 앰플의 유입부에 선택적으로 연결하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  19. 제 16 항에 있어서,
    상기 제 1 질량 유량 제어기에 의해 공급된 상기 캐리어 가스의 플로우 레이트를 제어함으로써 상기 기판 프로세싱 챔버로 공급된 상기 전구체 가스의 플로우 레이트를 조정하는 단계; 및
    상기 제 1 질량 유량 제어기에 의해 공급된 상기 캐리어 가스의 상기 플로우 레이트의 변화들에 응답하여 상기 제 2 질량 유량 제어기에 의해 상기 기판 프로세싱 챔버로 공급된 상기 캐리어 가스의 상기 플로우 레이트를 가변시키는 단계를 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  20. 제 16 항에 있어서,
    상기 전구체 가스의 플로우 레이트와 상기 압력 차이 사이의 사전결정된 관계에 기초하여, 상기 제 1 질량 유량 제어기에 의해 공급된 상기 캐리어 가스의 플로우 레이트를 조정함으로써 상기 운동량-기반 플로우 제한 부재의 상기 유출부에서 상기 전구체 가스의 플로우 레이트를 제어하는 단계를 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  21. 제 13 항에 있어서,
    상기 압력 차이에 기초하여 상기 운동량-기반 플로우 제한 부재의 상기 유출부에서 상기 전구체 가스의 플로우 레이트를 결정하는 단계를 더 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  22. 제 13 항에 있어서,
    상기 운동량-기반 플로우 제한 부재는 제한된 오리피스를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  23. 제 13 항에 있어서,
    상기 캐리어 가스는 제 1 밀도를 갖고;
    상기 전구체 가스는 제 2 밀도를 갖고; 그리고
    상기 제 2 밀도는 상기 제 1 밀도의 9 배보다 더 큰, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
  24. 제 13 항에 있어서,
    상기 캐리어 가스는 수소 또는 아르곤을 포함하고 그리고 상기 전구체 가스는 텅스텐 펜타클로라이드 또는 텅스텐 헥사클로라이드를 포함하는, 전구체 가스를 기판 프로세싱 챔버로 공급하기 위한 방법.
KR1020230055512A 2014-10-07 2023-04-27 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들 KR20230062519A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462060718P 2014-10-07 2014-10-07
US62/060,718 2014-10-07
US14/872,239 2015-10-01
US14/872,239 US9951423B2 (en) 2014-10-07 2015-10-01 Systems and methods for measuring entrained vapor
KR1020150140504A KR102528434B1 (ko) 2014-10-07 2015-10-06 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150140504A Division KR102528434B1 (ko) 2014-10-07 2015-10-06 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들

Publications (1)

Publication Number Publication Date
KR20230062519A true KR20230062519A (ko) 2023-05-09

Family

ID=55632398

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150140504A KR102528434B1 (ko) 2014-10-07 2015-10-06 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들
KR1020230055512A KR20230062519A (ko) 2014-10-07 2023-04-27 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150140504A KR102528434B1 (ko) 2014-10-07 2015-10-06 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들

Country Status (4)

Country Link
US (1) US9951423B2 (ko)
KR (2) KR102528434B1 (ko)
CN (1) CN105483655B (ko)
TW (1) TWI686506B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10087523B2 (en) 2016-05-20 2018-10-02 Lam Research Corporation Vapor delivery method and apparatus for solid and liquid precursors
WO2018025713A1 (ja) 2016-08-05 2018-02-08 株式会社堀場エステック ガス制御システム及び該ガス制御システムを備えた成膜装置
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
SE540630C2 (en) * 2016-12-30 2018-10-09 3Eflow Ab A method and apparatus for flow measurement in a fluid distribution system having a number of fluid tap units
US11255017B2 (en) * 2017-03-16 2022-02-22 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
US10760944B2 (en) * 2018-08-07 2020-09-01 Lam Research Corporation Hybrid flow metrology for improved chamber matching
JP7281285B2 (ja) 2019-01-28 2023-05-25 株式会社堀場エステック 濃度制御装置、及び、ゼロ点調整方法、濃度制御装置用プログラム
US20210404058A1 (en) * 2020-06-24 2021-12-30 Applied Materials, Inc. Apparatus and methods to reduce particles in a film deposition chamber
US20230399741A1 (en) * 2020-11-19 2023-12-14 Lam Research Corporation Sublimation control using downstream pressure sensing
US11808746B2 (en) 2021-07-01 2023-11-07 Applied Materials, Inc. Concentration sensor for precursor delivery system
US20230124304A1 (en) * 2021-10-14 2023-04-20 Applied Materials, Inc. Controlled delivery of low-vapor-pressure precursor into a chamber

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP5703114B2 (ja) * 2011-04-28 2015-04-15 株式会社フジキン 原料の気化供給装置
US8927066B2 (en) 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
CN103518165B (zh) * 2011-05-10 2016-06-08 株式会社富士金 带有流量监测器的压力式流量控制装置
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
US20160097127A1 (en) 2016-04-07
TW201625812A (zh) 2016-07-16
KR102528434B1 (ko) 2023-05-02
KR20160041802A (ko) 2016-04-18
CN105483655A (zh) 2016-04-13
US9951423B2 (en) 2018-04-24
CN105483655B (zh) 2018-06-12
TWI686506B (zh) 2020-03-01

Similar Documents

Publication Publication Date Title
KR102528434B1 (ko) 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들
KR102593566B1 (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
US10096506B2 (en) Reducing temperature transition in a substrate support
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US10633742B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
CN108630581B (zh) 衬底处理系统的前体蒸气供应系统中流监测的系统和方法
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
CN112313785A (zh) 去除金属氧化物膜的温度控制系统和方法
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11959793B2 (en) Flow metrology calibration for improved processing chamber matching in substrate processing systems
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20230399741A1 (en) Sublimation control using downstream pressure sensing
KR20240031415A (ko) PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right