CN112313785A - 去除金属氧化物膜的温度控制系统和方法 - Google Patents

去除金属氧化物膜的温度控制系统和方法 Download PDF

Info

Publication number
CN112313785A
CN112313785A CN201980041643.1A CN201980041643A CN112313785A CN 112313785 A CN112313785 A CN 112313785A CN 201980041643 A CN201980041643 A CN 201980041643A CN 112313785 A CN112313785 A CN 112313785A
Authority
CN
China
Prior art keywords
substrate
oxide film
metal oxide
predetermined temperature
coolant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980041643.1A
Other languages
English (en)
Inventor
阿希尔·N·辛格尔
帕特里克·A·范克利蒙布特
夏郑硕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112313785A publication Critical patent/CN112313785A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种处理方法包括:将衬底装载到处理室的衬底支撑件上,所述衬底具有在其表面上沉积的金属氧化物膜;基于预定温度,控制通过所述衬底支撑件提供至冷却剂通道的冷却剂的温度,其中所述预定温度小于50摄氏度;以及在基于所述预定温度控制所述冷却剂的温度的同时,选择性地蚀刻金属氧化物膜,其包括:使分子氢流入所述处理室;以及在所述处理室内激励等离子体。

Description

去除金属氧化物膜的温度控制系统和方法
相关申请的交叉引用
本申请要求于2018年6月19日申请的美国专利申请No.16/012,120的优先权。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本公开公开涉及等离子体室,并且更具体地涉及用于去除金属氧化物膜以防止粉末形成的温度控制系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于处理例如半导体晶片之类的衬底。可在衬底上被执行的示例性处理包含但不限于沉积、蚀刻、清扫以及其他种类的处理。衬底可被布置在位于处理室中的衬底支撑件上,例如底座或静电卡盘(ESC)上。在处理期间,可以将气体混合物引入处理室,并且可以将等离子体用于引发化学反应。
可以控制处理室内的衬底(例如半导体晶片)的温度。例如,可以在衬底支撑组件中布置一个或多个加热器,并且可以控制提供给加热器的功率以控制衬底支撑件上的衬底的温度。附加地或者替代地,一种或者多种流体可以在衬底支撑件内的使用阀的一个或者多个流动通道循环,以加热和/或冷却衬底和衬底支撑件。
发明内容
在一特征中,一种处理方法包括:将衬底装载到处理室的衬底支撑件上,所述衬底具有在其表面上沉积的金属氧化物膜;基于预定温度,控制通过所述衬底支撑件提供至冷却剂通道的冷却剂的温度,其中所述预定温度小于50摄氏度;以及在基于所述预定温度控制所述冷却剂的温度的同时,选择性地蚀刻金属氧化物膜,其包括:使分子氢流入所述处理室;以及在所述处理室内激励等离子体。
在进一步的特征中,所述金属氧化物膜是氧化锡膜。
在进一步的特征中,所述预定温度小于在将所述金属氧化物膜沉积在所述衬底上期间的所述冷却剂的温度。
在进一步的特征中,所述预定温度小于或等于30摄氏度。
在进一步的特征中,所述预定温度小于或等于25摄氏度。
在进一步的特征中,所述处理室位于房间内;以及所述预定温度小于所述房间内的温度。
在进一步的特征中,选择性地蚀刻所述金属氧化物膜还包括将气体泵出所述处理室。
在进一步的特征中,使分子氢流入所述处理室包括仅使分子氢流入所述处理室。
在一特征中,一种处理方法包括:基于预定温度,向以下两者中的至少一者供应冷却剂:穿过处理室的衬底支撑件的冷却剂通道;和围绕所述处理室的冷却剂通道,其中所述预定温度小于50摄氏度;以及在基于所述预定温度供应所述冷却剂的同时,从所述处理室内去除金属氧化物膜,其包括:使分子氢流入所述处理室;以及在所述处理室内激励等离子体。
在进一步的特征中,所述金属氧化物膜是氧化锡膜。
在进一步的特征中,所述预定温度小于或等于30摄氏度。
在进一步的特征中,所述预定温度小于或等于25摄氏度。
在进一步的特征中,处理室位于房间内;以及所述预定温度小于所述房间内的温度。
在进一步的特征中,所述处理方法还包括:将衬底装载到所述处理室的所述衬底支撑件上;以及在所述衬底的表面上沉积所述金属氧化物膜。
在进一步的特征中,所述处理方法还包括在将所述金属氧化物膜沉积在所述衬底的所述表面上期间,基于大于所述预定温度的第二预定温度来供应所述冷却剂。
在进一步的特征中,去除所述金属氧化物膜还包括将气体泵出所述处理室。
在进一步的特征中,使分子氢流入所述处理室包括仅使分子氢流入所述处理室。
在一特征中,一种衬底处理系统包括处理室以及控制器。所述处理室包括衬底支撑件。所述控制器被配置成:基于预定温度,控制通过所述衬底支撑件提供至冷却剂通道的冷却剂的温度,其中所述预定温度小于50摄氏度;以及在基于所述预定温度控制所述冷却剂的温度的同时,选择性地蚀刻沉积在被布置在所述衬底支撑件上的衬底的表面上的金属氧化物膜,所述选择性地蚀刻包括:使分子氢流入所述处理室;以及在所述处理室内激励等离子体。
在进一步的特征中,所述金属氧化物膜是氧化锡膜。
在进一步的特征中,所述预定温度小于在将所述金属氧化物膜沉积在所述衬底上期间的所述冷却剂的温度。
在进一步的特征中,所述预定温度小于或等于30摄氏度。
在进一步的特征中,所述预定温度小于或等于25摄氏度。
在进一步的特征中,所述处理室位于房间内;以及所述预定温度小于所述房间内的温度。
在进一步的特征中,所述控制器被进一步配置为将气体泵出所述处理室。
在进一步的特征中,所述控制器被进一步配置为仅使分子氢流入所述处理室。
在一特征中,一种衬底处理系统包括处理室以及控制器,所述处理室包括衬底支撑件。所述控制器被配置成基于预定温度,向以下两者中的至少一者供应冷却剂:穿过所述衬底支撑件的冷却剂通道;和围绕所述处理室的冷却剂通道,其中所述预定温度小于50摄氏度;以及在基于所述预定温度供应所述冷却剂的同时,从所述处理室内去除金属氧化物膜,其包括:使分子氢流入所述处理室;以及在所述处理室内激励等离子体。
在进一步的特征中,所述金属氧化物膜是氧化锡膜。
在进一步的特征中,所述预定温度小于或等于30摄氏度。
在进一步的特征中,所述预定温度小于或等于25摄氏度。
在进一步的特征中,处理室位于房间内;以及所述预定温度小于所述房间内的温度。
在进一步的特征中,所述控制器还被配置为将所述金属氧化物膜沉积在布置在所述衬底支撑件上的衬底的表面上。
在进一步的特征中,所述控制器还被配置为:在将所述金属氧化物膜沉积在所述衬底的所述表面上期间,基于大于所述预定温度的第二预定温度来供应所述冷却剂。
在进一步的特征中,所述控制器还被配置为将气体泵出所述处理室。
在进一步的特征中,所述控制器还被配置为仅使分子氢流入所述处理室。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1包括示例性衬底处理室的功能框图;
图2包括功能框图,其包括含有冷却剂组件的示例性冷却系统;
图3包括描绘示例性方法的流程图,该方法用于在处理室内的衬底上沉积金属氧化物膜并定期从处理室内清扫金属氧化物膜而不将金属氧化物膜变成粉末;
图4包括描绘示例性方法的流程图,该方法用于蚀刻沉积在衬底上的金属氧化物膜而不将金属氧化物膜变成粉末;
图5包括在衬底上的金属氧化物的厚度与执行金属氧化物的蚀刻时所处的温度的示例性关系图;
图6包括在各种不同温度下蚀刻金属氧化物膜和擦拭衬底的一些区域之后的衬底表面的示例性示图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
冷却剂可用于调节布置在处理室内的衬底支撑件上的衬底的温度。例如,在金属氧化物膜的沉积期间,可以在第一预定温度下将冷却剂供应到衬底支撑件的基部中的冷却剂通道和/或供应到围绕处理室的冷却剂通道或管。在从衬底蚀刻金属氧化物膜期间和/或在清扫处理室的内表面期间,可以以第二预定温度将冷却剂供应到冷却剂通道或管。
第二预定温度小于第一预定温度。然而,如果第二预定温度太高,则在蚀刻或清扫过程中全部或部分金属氧化物膜可能分解成粉末(例如,金属氢化物)。从处理室中去除全部粉末是困难且耗时的。如果留在处理室中,则粉末可能会增加稍后在处理室内处理的一个或多个衬底的缺陷计数。
根据本公开内容,将第二预定温度降低到预定温度,以确保在处理室的蚀刻和/或清洁期间,金属氧化物膜保持挥发性(并且不转变成粉末)。如果金属氧化物保持挥发性,则可以将其蒸发并泵出处理室
现在参考图1,显示了示例性衬底处理系统100的功能框图。仅举例而言,衬底处理系统100可用于化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子层沉积(ALD)、等离子体增强ALD(PEALD、蚀刻和/或一或多种类型的处理。
衬底处理系统100包含处理室102,该处理室包围衬底处理系统100的部件并且容纳射频(RF)等离子体。虽然作为示例显示了衬底处理系统100与处理室102的示例,但本公开内容也可应用于其他类型的衬底处理系统与处理室,例如原位产生等离子体的衬底处理系统、(例如使用等离子体管、微波管)实现远程等离子体产生与输送的衬底处理系统等等。在多种实现方式中,可以在一个处理室内进行沉积,并且可以在另一处理室内进行蚀刻。
处理室102包括上电极104和衬底支撑件106,例如静电卡盘(ESC)。将衬底108布置在衬底支撑件106上,并且在衬底108上执行一或多个等离子体处理。例如,可以在衬底108上沉积金属氧化物膜。附加地或替代地,可以执行先前沉积在衬底108上的金属氧化物膜的蚀刻。该金属氧化物膜可以是氧化锡或另一种合适的金属氧化物膜。
随着衬底的处理,沉积在衬底上的金属氧化物膜也可能随着时间的推移在处理室102(例如,处理室102的部件和处理室102的内表面)上堆积。可以周期性地(例如,每M个衬底,其中M是大于1的整数)执行处理室102的清扫循环,以从处理室102内去除(或清扫)金属氧化物膜。
使用等离子体和分子氢(H2)(即,使用氢作为蚀刻剂)从处理室102内执行对沉积在衬底上的金属氧化物膜的蚀刻以及对金属氧化物膜的清扫。蚀刻和清扫可以使用氟、氯、溴和/或碘等离子体化学物质来执行。然而,使用氯、溴和/或碘会与处理室102和处理室102内的一种或多种部件(例如铝部件)反应和/或将其损坏。
上电极104可以包括气体分配装置,例如喷头109,其在处理室102内引入和分配处理气体。喷头109可以包括杆部,该杆部的一端连接到处理室102的上表面。基部部分通常为圆柱形,并且从杆部的相对端在与处理室102的顶部表面间隔开的位置处径向向外延伸。喷头109的基部部分的面向衬底的表面或面板包括让处理气体或吹扫气体流过的多个孔。替代地,上电极104可包括导电板,并且可以以另一种方式引入处理气体。
衬底支撑件106可包含作为下电极的导电底板110。底板110支撑陶瓷层112。可将热阻层114(例如接合层)设置在陶瓷层112与底板110之间。底板110可包含用于使冷却剂流过底板110的一或多个冷却剂通道116。在某些示例中,可将保护密封件176设置成围绕在陶瓷层112与底板110之间的热阻层114的周边。
RF产生系统120产生RF电压并且将其输出至上电极104与下电极(例如衬底支撑件106的底板110)中的一者,以激励并维持等离子体。上电极104与底板110中的另一者可被DC接地、AC接地、或浮置。仅举例而言,RF产生系统120可包含产生RF电压的RF电压产生器122,该RF电压通过匹配与分布网络124而被馈送至上电极104或底板110。在其他示例中,等离子体可以感应地或远程地产生。尽管,出于示例目的所示,RF生成系统120对应于电容耦合等离子体(CCP)系统,但是本公开内容也可应用于其他类型的系统,诸如,仅仅例如变压器耦合等离子体(TCP)系统、CCP阴极系统、远程微波等离子体产生和输送系统等。
气体输送系统130包含一或多个气体源132-1、132-2、...、以及132-N(统称为气体源132),其中,N为大于零的整数。气体源132供应一或多种沉积气体、蚀刻气体、载气、惰性气体等等以及其混合物。
例如,气体源132供应一或多种气体,以沉积金属氧化物膜。附加地或替代地,气体源132可供应用于蚀刻和/或清扫金属氧化物膜的一或多种清扫气体(例如分子氢)。气体源132还供应吹扫气体。
通过阀134-1、134-2、…、以及134-N(统称为阀134)与质量流量控制器136-1、136-2、...、以及136-N(统称为质量流量控制器136),将气体源132连接至歧管140。歧管140的输出被馈送到处理室102。仅举例而言,歧管140的输出物被馈送到喷头109并且从喷头109输出至处理室102。
温度控制器142被连接至多个加热元件,例如布置在陶瓷层112中的热控制元件(TCE)144。例如,TCE144可包含但不限于对应于多区加热板中的相应区域的大型加热元件和/或跨越多区加热板的多个区域设置的微型加热元件的阵列。TCE144例如可以是电阻式加热器(当功率分别施加至加热器时,加热器会产生热)、或另一合适类型的加热元件。温度控制器142控制TCE144,以控制在衬底支撑件106与衬底108上的各种位置处的温度。
温度控制器142还与冷却剂组件146通信并且控制流过冷却剂通道116的冷却剂(流体)流。冷却剂可以是液体或气体。在一些类型的处理室中,例如在其中执行沉积的处理室中,冷却剂也可穿过围绕处理室的冷却剂通道145循环。冷却剂通道145可以是形成在处理室102的壁中的冷却剂通道145和/或围绕处理室102的冷却剂导管(例如,管)。在处理室(在其内执行蚀刻)中,可以实现或省略冷却剂通道145。
温度控制器142操作冷却剂组件146而选择性地使冷却剂流过冷却剂通道116和/或冷却剂通道145,以对衬底支撑件106和/或处理室102进行冷却。温度控制器142可与冷却剂组件146一起控制TCE144,以例如在一或多个处理期间实现一或多个目标温度和/或一或多个目标冷却剂流率。
阀150与泵152可用于将反应物及其他气体从处理室102抽空(吹扫掉)。系统控制器160可用于控制衬底处理系统100的部件。机械手170可用于将衬底输送到衬底支撑件106上并且将衬底从该衬底支撑件移除。例如,机械手170可在衬底支撑件106与装载锁172之间传送衬底。虽然被显示成分开的控制器,但温度控制器142可实现于系统控制器160内。
在一些示例中,衬底支撑件106包含边缘环180。边缘环180可相对于衬底108移动(例如可在竖直方向上往上与往下移动)。例如,可响应于系统控制器160经由致动器来控制边缘环180的移动。在一些示例中,用户可经由用户界面184将控制参数输入至系统控制器160,该用户界面包含一或多种输入机构、显示器等等。
图2包含功能框图,其包括含有冷却剂组件146的示例性冷却系统200。冷却系统200可包含第一三通比例阀(之后称为第一阀)204、第二三通比例阀(之后称为第二阀)206、第三三通比例阀(之后称为第三阀)208以及第一与第二温度控制单元(TCU)(冷却剂源)216与218。第一TCU216供应在第一温度下的冷却剂。第二TCU218供应在第二温度下的冷却剂。虽然提供了两个TCU的示例,但可仅实现一个TCU或者可实现多于两个的TCU。
在一些实现方案中,可固定第一TCU216与第二TCU218中的每一者的流率。第一TCU216与第二TCU218的流率可以相同或不同。例如,第一TCU216可具有第一固定流率,而第二TCU218可具有与第一固定流率相同或不同的第二固定流率。第一TCU216与第二TCU218各自包含泵。第一TCU216的泵将冷却剂泵送至第一阀204,而第二TCU218的泵将冷却剂泵送至第二阀206。第一TCU216与第二TCU218还各自包含一或多个加热设备(例如电热器)和/或一或多个冷却设备(例如冷却器),其用于加热和/或冷却第一TCU216与第二TCU218内的冷却剂。
第一阀204具有输入口220、第一输出口222、以及第二输出口(或旁路)224。第二阀206具有输入口226、第一输出口228、以及第二输出口(或旁路)230。第三阀208具有输入口232、第一输出口234、以及第二输出口236。
第一阀204的输入口220经由第一流体线路238接收来自第一TCU216的在第一固定流率下的、在第一温度下的冷却剂。第二阀206的输入口226经由第二流体线路240接收来自第二TCU218的在第二固定流率下的、在第二温度下的冷却剂。
第一阀204的第一输出口222将所接收到的来自第一TCU216的冷却剂的第一部分输出到供应线路242内。第二阀206的第一输出口228将所接收到的来自第二TCU218的冷却剂的第一部分输出到供应线路242内。从第一阀204与第二阀206各自的第一输出口222与228所输出的冷却剂的第一部分在供应线路242中混合。在供应线路242中的经混合的冷却剂被供应到衬底支撑件106和/或围绕处理室102的冷却剂通道。
温度控制器142控制第一阀204与第二阀206,从而控制从第一阀204与第二阀206各自的第一输出口222与228输出到供应线路242的冷却剂的第一部分的量。温度控制器142控制第一阀204与第二阀206,并且基于目标(或设定点)温度而确定该量。
在多种实现方式中,温度控制器142可以基于所执行的工艺来设置特定的目标温度。例如,温度控制器142可以将目标温度设置为第一预定温度,该第一预定温度大于在衬底108上沉积金属氧化物膜(例如,氧化锡)期间处理室102所处的房间的温度。第一预定温度可以是约125摄氏度或用于在衬底上沉积金属氧化物膜的另一合适温度。房间的温度可以是例如约30摄氏度或另一合适的温度。如本文所使用的,约可以意味着相关值+/-10%。
温度控制器142在衬底108上的金属氧化物膜的蚀刻期间以及在内部沉积有金属氧化物膜的处理室102的清洁期间将目标温度设置为第二预定温度。第二预定温度被校准并且可以例如小于或等于约50摄氏度,小于或等于约30摄氏度或小于或等于约25摄氏度。第二预定温度可以小于处理室102所处的房间的温度。校准第二预定温度,使得在金属氧化物膜的蚀刻期间和/或在处理室的清洁过程中,金属氧化物膜蒸发并且不转变成粉末(例如,在室温或更高温度下分解成粉末的金属氢化物)。
通过第一阀204所接收到的来自第一TCU216的冷却剂的第二(剩余)部分可经由第一阀204的第二输出口(或旁路)224并且经由流体线路244而回到第一TCU216。通过第二阀206所接收到的来自第二TCU218的冷却剂的第二(剩余)部分可经由第二阀206的第二输出口(或旁路)230并且经由流体线路246而回到第二TCU218。
由于通过第一阀204与第二阀206所接收到的冷却剂的第二部分回到第一TCU 216与第二TCU 218,所以第一TCU 216与第二TCU 218可以相应的固定流率将冷却剂供应至第一阀204与第二阀206。这可简化第一TCU 216与第二TCU 218的设计。例如,第一TCU 216与第二TCU 218的泵可以单一速度来进行操作。虽然是以单一速度来进行操作,但可经由调整第一阀204和/或第二阀206的开口来实现目标温度。
从衬底支撑件106和/或围绕处理室102的冷却剂通道输出的冷却剂经由返回线路248而通过第三阀208的输入口232所接收。第三阀208在第一TCU 216与第二TCU 218之间分配所返回的冷却剂。
通过第三阀208从衬底支撑件106所接收到的冷却剂的第一部分经由第三阀208的第一输出口234并通过流体线路250与流体线路244而回到第一TCU216。通过第三阀208从衬底支撑件106所接收到的冷却剂的第二部分经由第三阀208的第二输出口236并通过流体线路252与流体线路246而回到第二TCU218。
温度控制器142控制第三阀208,并且确定分别从第三阀208的第一输出口234与第二输出口236输出到第一TCU 216与第二TCU 218的冷却剂的第一与第二部分的适当或目标量。例如,温度控制器142基于所接收到的来自第一TCU 216与第二TCU 218中的液位传感器217与219的数据而监测第一TCU 216与第二TCU 218中的冷却剂的液位。温度控制器142判定第一TCU 216与第二TCU 218的每一者中的冷却剂的液位,并且基于所述液位而确定返回到第一TCU 216与第二TCU 218的冷却剂的第一与第二部分的量。
温度传感器254(例如,热电偶)感测通过供应线路242供应到衬底支撑件106和/或冷却剂通道145的冷却剂的温度。流率传感器(例如,流量表)256测量通过供应线路242供应到衬底支撑件106和/或冷却剂通道145的冷却剂的流率。第二温度传感器与第二流量表虽然未显示,但其可耦合至返回线路248并且测量通过返回线路248从衬底支撑件106和/或冷却剂通道145返回的冷却剂的温度和流率。
温度控制器142可包含比例积分微分(PID)控制器或另一合适类型的闭环控制器。温度控制器142基于目标温度(在该目标温度下,冷却剂将被供应至衬底支撑件106和/或围绕处理室102的冷却剂通道)而控制通过第一阀204与第二阀206所供应的冷却剂的量。例如,温度控制器142可控制第一阀204与第二阀206,以将通过温度传感器254所测量的温度朝目标温度调整或调整至目标温度。
此外,温度控制器142基于目标流率(冷却剂将以该目标流率供应至衬底支撑件106和/或冷却剂通道145)而控制通过第一阀204与第二阀206所供应的冷却剂的量。例如,温度控制器142可控制第一阀204与第二阀206,以将通过流率传感器256所测量的流率朝向目标流率调整或调整至目标流率。
通过冷却剂组件146,冷却剂输出的温度可以在小于预定切换时段的时段内从第一预定温度切换到第二预定温度。冷却剂的温度还可以在小于预定切换时段的时段内从第二预定温度切换到第一预定温度。
预定切换时段可以是例如约15分钟或另一个合适的时段。冷却剂的温度可以例如从第一预定温度切换到第二预定温度,以从在衬底上沉积金属氧化物膜过渡到从处理室102清扫金属氧化物膜或蚀刻在衬底上沉积的金属氧化物膜。冷却剂的温度可以例如从第二预定温度切换,以从清扫来自处理室102的金属氧化物膜或者从蚀刻沉积在衬底上的金属氧化物膜过渡到在衬底上沉积金属氧化物膜。
图3包括用于在处理室102内的衬底上沉积金属氧化物膜并定期清扫处理室102的示例性方法。控制始于304,其中系统控制器160控制气体输送系统130和RF产生系统120以经由等离子体在处理室102内的衬底支撑件106上的衬底上沉积金属氧化物膜(例如,氧化锡)。在金属氧化物膜在衬底上的沉积期间,温度控制器142将供应到衬底支撑件106和/或冷却剂通道145的冷却剂的温度控制到第一预定温度。如上所述,第一预定温度大于处理室102所在的房间的温度。
在308,系统控制器160确定金属氧化物膜在衬底上的沉积是否完成。例如,系统控制器160可以确定金属氧化物膜在衬底上的沉积的时段是否大于预定沉积时段。如果308为真,则控制继续至312。如果308为假,则控制可以返回至304,并继续在衬底上沉积金属氧化物膜。
在312,机械手170可以从处理室102移除衬底。机械手170或另一机械手可以将衬底移动到另一处理室以蚀刻金属氧化物膜。在多种实现方式中,还可以在从处理室102移除衬底之前在处理室102内执行金属氧化物膜的蚀刻。
在316,系统控制器160可增大计数值(例如将计数值加上1)。因此,计数值相当于自从处理室102被最后清扫以将金属氧化物膜从处理室102内移除以来,已在处理室102内在上面沉积了金属氧化物膜的衬底的数量。
在320,系统控制器160可确定计数值是否小于预定值。该预定值可被校正并且是大于一的整数。该预定值相当于在处理室102的连续清扫循环之间的待处理的衬底(金属氧化物膜将沉积于该衬底上)的数量。如果320为真,则机械手170或另一机械手可在332将下一个衬底装载到处理室102内的衬底支撑件106上,且控制可返回到304开始下一个衬底上的金属氧化物膜的沉积。如果320为假,则控制可继续往324。在多种实现方案中,附加地或替代地,可在每预定时间时段和/或响应使用者的输入而执行处理室102的清扫循环,以执行清扫。
在324,温度控制器142为了清扫而控制冷却剂组件146,以在第二预定温度将冷却剂提供至衬底支撑件106和/或冷却剂通道145。在328,系统控制器160可确定被供应到衬底支撑件106和/或冷却剂通道145的冷却剂的温度是否小于或等于第二预定温度。如果328为真,则控制继续往332。如果328为假,则控制可返回到324继续冷却衬底支撑件106和/或处理室102。在多种实现方案中,328可被省略。
在332,开始进行清扫,且温度控制器142为了清扫而继续控制冷却剂组件146,以在第二预定温度将冷却剂提供至衬底支撑件106和/或冷却剂通道145。在336,系统控制器160控制气体输送系统130,以将分子氢H2(例如,仅分子氢)提供给处理室102,以从处理室102内清扫金属氧化物膜(例如,氧化锡)。在340,系统控制器160还控制RF产生系统120以在处理室102内激励等离子体以从处理室102内清扫金属氧化物膜(例如,氧化锡)。通过在清扫期间冷却衬底支撑件106和/或冷却剂通道145至第二预定温度,使金属氧化物汽化。这最小化了变成粉末的金属氧化物的量。
汽化的金属氧化物可通过泵152的操作从处理室102中排出。在344,系统控制器160打开阀150并开启泵152以从处理室102中吹扫掉汽化的金属氧化物。
如果粉末形成,则粉末可能不能通过泵152的操作而被完全去除,而是可能通过对处理室102的额外(例如,手动)清扫而被去除。如果粉末没有从处理室102内去除,则粉末会增加稍后在处理室102中处理的衬底的缺陷计数。
在348,系统控制器160确定清扫是否完成。例如,系统控制器160可确定自从清扫开始进行后(例如自从332的第一情况后)的时段是否大于预定清扫时段。如果348为真,则控制可转移至332,如上所述。如果348为假,则控制可返回到332而继续进行处理室102的清扫。
图4包括用于在冷却衬底的同时蚀刻处理室102内的衬底上的金属氧化物膜以防止金属氧化物膜变成粉末的示例性方法。控制开始于位于处理室102内的衬底支撑件106上的衬底(其具有金属氧化物膜)。在404,温度控制器142控制冷却剂组件146以在第二预定温度下将冷却剂提供给衬底支撑件106和/或冷却剂通道145,以蚀刻衬底。
在408,系统控制器160可确定被供应到衬底支撑件106和/或冷却剂通道145的冷却剂的温度是否小于或等于第二预定温度。如果408为真,则控制继续往412。如果408为假,则控制可返回到404继续冷却衬底支撑件106和/或处理室102。在多种实现方案中,408可被省略。
在412,开始进行蚀刻,且温度控制器142为了蚀刻而继续控制冷却剂组件146,以在第二预定温度将冷却剂提供至衬底支撑件106和/或冷却剂通道145。在416,系统控制器160控制气体输送系统130,以将分子氢H2(例如,仅分子氢)提供给处理室102,以从衬底蚀刻金属氧化物膜(例如,氧化锡)。
在420,系统控制器160控制RF产生系统120以在处理室102内激励等离子体以从衬底蚀刻金属氧化物膜(例如,氧化锡)。通过在蚀刻期间冷却衬底支撑件106和/或冷却剂通道145至第二预定温度,使金属氧化物汽化。这最小化了变成粉末的金属氧化物的量。
汽化的金属氧化物可通过泵152的操作从处理室102中排出。在424,系统控制器160打开阀150并开启泵152以从处理室102中吹扫掉汽化的金属氧化物。
在428,系统控制器160确定衬底上的金属氧化物膜的蚀刻是否完成。例如,系统控制器160可以确定自开始在衬底上蚀刻金属氧化物膜以来(例如,自412的第一情况以来)的时段是否大于预定蚀刻时段。如果428为真,则控制继续往432。如果428为假,则控制可以返回到412并继续蚀刻。
在432,机械手170或另一机械手可从处理室102移除衬底。机械手170或另一机械手可将衬底移动至另一处理室以进行额外处理。替代地,可以在处理室102内的衬底上执行附加处理。机械手170或另一机械手也可以将下一个衬底装载到在处理室102内的衬底支撑件106上,并且控制可以返回到404,以开始从该下一个衬底蚀刻金属氧化物膜。
图5包括衬底上的金属氧化物的厚度与在衬底上进行金属氧化物膜的蚀刻时的温度的示例性的关系曲线图。零(0)厚度对应于执行蚀刻之前的金属氧化物膜的初始厚度。如图所示,当在利用低于50摄氏度的温度执行蚀刻时,金属氧化物膜的厚度通常由于蚀刻而减小。在这种情况下,金属氧化物膜被汽化并去除(没有形成粉末),因此导致存在于衬底上的金属氧化物的厚度减小。
然而,当使用大于50摄氏度的温度执行蚀刻时,金属氧化物的厚度增加。该增加可以归因于由于蚀刻而使金属氧化物膜从膜转变为粉末以及粉末残留在衬底上。
图6包括在各种不同温度下清扫或蚀刻金属氧化物膜之后的表面(例如,衬底、处理室的内表面)的示例性示图。在每种情况下,仅擦拭(例如用手擦拭)表面的某些区域。
如图所示,当在低于50摄氏度的温度下进行蚀刻或清扫时,看不见擦拭的迹象。因此,使用低于50摄氏度的温度不会导致金属氧化物膜转变成粉末。相反,金属氧化物被蒸发并且被除去。
但是,当使用高于50摄氏度的温度进行蚀刻或清扫时,可以看到擦拭的迹象。擦拭物的可见度随所使用温度的升高而增加。这表明随着所使用的温度升高,从膜转变为粉末的金属氧化物膜增加。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清扫操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清扫室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (34)

1.一种处理方法,其包括:
将衬底装载到处理室的衬底支撑件上,所述衬底具有在其表面上沉积的金属氧化物膜;
基于预定温度,控制通过所述衬底支撑件提供至冷却剂通道的冷却剂的温度,
其中所述预定温度小于50摄氏度;以及
在基于所述预定温度控制所述冷却剂的温度的同时,选择性地蚀刻金属氧化物膜,其包括:
使分子氢流入所述处理室;以及
在所述处理室内激励等离子体。
2.根据权利要求1所述的处理方法,其中,所述金属氧化物膜是氧化锡膜。
3.根据权利要求1所述的处理方法,其中,所述预定温度小于在将所述金属氧化物膜沉积在所述衬底上期间的所述冷却剂的温度。
4.根据权利要求1所述的处理方法,其中,所述预定温度小于或等于30摄氏度。
5.根据权利要求1所述的处理方法,其中,所述预定温度小于或等于25摄氏度。
6.根据权利要求1所述的处理方法,其中:
所述处理室位于房间内;以及
所述预定温度小于所述房间内的温度。
7.根据权利要求1所述的处理方法,其中选择性地蚀刻所述金属氧化物膜还包括将气体泵出所述处理室。
8.根据权利要求1所述的处理方法,其中使分子氢流入所述处理室包括仅使分子氢流入所述处理室。
9.一种处理方法,其包括:
基于预定温度,向以下两者中的至少一者供应冷却剂:
穿过处理室的衬底支撑件的冷却剂通道;和
围绕所述处理室的冷却剂通道,
其中所述预定温度小于50摄氏度;以及
在基于所述预定温度供应所述冷却剂的同时,从所述处理室内去除金属氧化物膜,其包括:
使分子氢流入所述处理室;以及
在所述处理室内激励等离子体。
10.根据权利要求9所述的处理方法,其中,所述金属氧化物膜是氧化锡膜。
11.根据权利要求9所述的处理方法,其中,所述预定温度小于或等于30摄氏度。
12.根据权利要求9所述的处理方法,其中,所述预定温度小于或等于25摄氏度。
13.根据权利要求9所述的处理方法,其中:
所述处理室位于房间内;和
所述预定温度小于所述房间内的温度。
14.根据权利要求9所述的处理方法,其还包括:
将衬底装载到所述处理室的所述衬底支撑件上;以及
在所述衬底的表面上沉积所述金属氧化物膜。
15.根据权利要求14所述的处理方法,其还包括在将所述金属氧化物膜沉积在所述衬底的所述表面上期间,基于大于所述预定温度的第二预定温度来供应所述冷却剂。
16.根据权利要求9所述的处理方法,其中去除所述金属氧化物膜还包括将气体泵出所述处理室。
17.根据权利要求9所述的处理方法,其中使分子氢流入所述处理室包括仅使分子氢流入所述处理室。
18.一种衬底处理系统,其包括:
处理室,其包括衬底支撑件;以及
控制器,其被配置成
基于预定温度,控制通过所述衬底支撑件提供至冷却剂通道的冷却剂的温度,
其中所述预定温度小于50摄氏度;以及
在基于所述预定温度控制所述冷却剂的温度的同时,选择性地蚀刻沉积在被布置在所述衬底支撑件上的衬底的表面上的金属氧化物膜,所述选择性地蚀刻包括:
使分子氢流入所述处理室;以及
在所述处理室内激励等离子体。
19.根据权利要求18所述的衬底处理系统,其中,所述金属氧化物膜是氧化锡膜。
20.根据权利要求18所述的衬底处理系统,其中,所述预定温度小于在将所述金属氧化物膜沉积在所述衬底上期间的所述冷却剂的温度。
21.根据权利要求18所述的衬底处理系统,其中,所述预定温度小于或等于30摄氏度。
22.根据权利要求18所述的衬底处理系统,其中,所述预定温度小于或等于25摄氏度。
23.根据权利要求18所述的衬底处理系统,其中:
所述处理室位于房间内;以及
所述预定温度小于所述房间内的温度。
24.根据权利要求18所述的衬底处理系统,其中,所述控制器被进一步配置为将气体泵出所述处理室。
25.根据权利要求18所述的衬底处理系统,其中,所述控制器被进一步配置为仅使分子氢流入所述处理室。
26.一种衬底处理系统,其包括:
处理室,其包括衬底支撑件;以及
控制器,其被配置成:
基于预定温度,向以下两者中的至少一者供应冷却剂:
穿过所述衬底支撑件的冷却剂通道;和
围绕所述处理室的冷却剂通道,
其中所述预定温度小于50摄氏度;以及
在基于所述预定温度供应所述冷却剂的同时,从所述处理室内去除金属氧化物膜,其包括:
使分子氢流入所述处理室;以及
在所述处理室内激励等离子体。
27.根据权利要求26所述的衬底处理系统,其中,所述金属氧化物膜是氧化锡膜。
28.根据权利要求26所述的衬底处理系统,其中,所述预定温度小于或等于30摄氏度。
29.根据权利要求26所述的衬底处理系统,其中,所述预定温度小于或等于25摄氏度。
30.根据权利要求26所述的衬底处理系统,其中:
所述处理室位于房间内;和
所述预定温度小于所述房间内的温度。
31.根据权利要求26所述的衬底处理系统,其中,所述控制器还被配置为将所述金属氧化物膜沉积在布置在所述衬底支撑件上的衬底的表面上。
32.根据权利要求31所述的衬底处理系统,其中,所述控制器还被配置为:在将所述金属氧化物膜沉积在所述衬底的所述表面上期间,基于大于所述预定温度的第二预定温度来供应所述冷却剂。
33.根据权利要求26所述的衬底处理系统,其中,所述控制器还被配置为将气体泵出所述处理室。
34.根据权利要求26所述的衬底处理系统,其中,所述控制器还被配置为仅使分子氢流入所述处理室。
CN201980041643.1A 2018-06-19 2019-06-14 去除金属氧化物膜的温度控制系统和方法 Pending CN112313785A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/012,120 US20190385828A1 (en) 2018-06-19 2018-06-19 Temperature control systems and methods for removing metal oxide films
US16/012,120 2018-06-19
PCT/US2019/037248 WO2019245909A1 (en) 2018-06-19 2019-06-14 Temperature control systems and methods for removing metal oxide films

Publications (1)

Publication Number Publication Date
CN112313785A true CN112313785A (zh) 2021-02-02

Family

ID=68840338

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980041643.1A Pending CN112313785A (zh) 2018-06-19 2019-06-14 去除金属氧化物膜的温度控制系统和方法

Country Status (5)

Country Link
US (1) US20190385828A1 (zh)
JP (1) JP7420754B2 (zh)
KR (1) KR20210011501A (zh)
CN (1) CN112313785A (zh)
WO (1) WO2019245909A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102608957B1 (ko) * 2018-08-27 2023-12-01 삼성전자주식회사 플라즈마 처리 장치
WO2020061484A1 (en) 2018-09-21 2020-03-26 Lam Research Corporation Etching metal-oxide and protecting chamber components
US11901192B2 (en) * 2020-06-30 2024-02-13 Hitachi High-Tech Corporation Etching processing method and etching processing apparatus
US11981989B2 (en) 2021-06-03 2024-05-14 Applied Materials, Inc. Automated temperature controlled substrate support

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004342984A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd 基板保持機構およびプラズマ処理装置
CN101657567A (zh) * 2007-03-01 2010-02-24 桑迪士克3D公司 等离子蚀刻过渡金属氧化物的方法
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US20160379856A1 (en) * 2015-06-23 2016-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01298164A (ja) * 1988-05-25 1989-12-01 Canon Inc 機能性堆積膜の形成方法
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
JP4127869B2 (ja) * 1995-09-28 2008-07-30 三井化学株式会社 乾式エッチング方法
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US20010008227A1 (en) * 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
JP2000038673A (ja) * 1998-07-22 2000-02-08 Central Glass Co Ltd クリーニングガス
JP2000261042A (ja) * 1999-03-05 2000-09-22 Toshiba Corp 半導体発光素子及びその製造方法
KR100453578B1 (ko) * 2002-01-04 2004-10-20 주성엔지니어링(주) 실리콘 에피택셜층 성장공정 전의 기판 사전 세정방법
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2005268292A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体装置の製造方法
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
WO2008007944A1 (en) * 2006-07-12 2008-01-17 Technische Universiteit Eindhoven Method and device for treating a substrate by means of a plasma
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5524132B2 (ja) * 2010-07-15 2014-06-18 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
WO2014028603A1 (en) * 2012-08-17 2014-02-20 First Solar, Inc. Method and apparatus providing multi-step deposition of thin film layer
US10115572B2 (en) * 2016-01-26 2018-10-30 Applied Materials, Inc. Methods for in-situ chamber clean in plasma etching processing chamber
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004342984A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd 基板保持機構およびプラズマ処理装置
CN101657567A (zh) * 2007-03-01 2010-02-24 桑迪士克3D公司 等离子蚀刻过渡金属氧化物的方法
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US20160379856A1 (en) * 2015-06-23 2016-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus

Also Published As

Publication number Publication date
US20190385828A1 (en) 2019-12-19
KR20210011501A (ko) 2021-02-01
WO2019245909A1 (en) 2019-12-26
JP7420754B2 (ja) 2024-01-23
JP2021528857A (ja) 2021-10-21

Similar Documents

Publication Publication Date Title
KR102688484B1 (ko) 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들
US10096506B2 (en) Reducing temperature transition in a substrate support
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US12062554B2 (en) Progressive heating of components of substrate processing systems using TCR element-based heaters
US10400323B2 (en) Ultra-low defect part process
US10725485B2 (en) System and method for calculating substrate support temperature
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
CN113795610A (zh) 在处理室中衬底的高温加热
TWI849145B (zh) 基板處理系統用的縮小直徑承載環硬件
CN114008738B (zh) 用于衬底处理系统的缩小直径承载环硬件
CN118382720A (zh) 控制喷头冷却的热传导性调节

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination