KR20240031415A - PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상 - Google Patents

PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상 Download PDF

Info

Publication number
KR20240031415A
KR20240031415A KR1020247005651A KR20247005651A KR20240031415A KR 20240031415 A KR20240031415 A KR 20240031415A KR 1020247005651 A KR1020247005651 A KR 1020247005651A KR 20247005651 A KR20247005651 A KR 20247005651A KR 20240031415 A KR20240031415 A KR 20240031415A
Authority
KR
South Korea
Prior art keywords
showerhead
temperature
deposition
deposition time
optimized
Prior art date
Application number
KR1020247005651A
Other languages
English (en)
Inventor
동 왕
투 홍
웬지아 센
후 강
마크 콜랙
스카이 멀레노
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240031415A publication Critical patent/KR20240031415A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 상에서 증착 프로세스를 수행하도록 구성된 프로세싱 챔버를 위한 제어기는 프로세싱 챔버의 샤워헤드의 온도를 획득하도록 구성된 온도 모니터, 샤워헤드의 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 샤워헤드의 온도를 상관시키는 데이터에 기초하여 최적화된 증착 시간을 결정하도록 구성된 증착 시간 결정기; 및 결정된 최적화된 증착 시간에 기초하여 기판 상에서 증착 단계를 수행하도록 구성된 증착 최적화기를 포함한다.

Description

PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상
본 개시는 기판 프로세싱 시스템에서 샤워헤드 온도를 보상하기 위해 증착 파라미터들을 조정하는 것에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들 상의 막의 증착 및 에칭과 같은 처리들을 수행하도록 사용된다. 예를 들어, 증착은 화학적 기상 증착 (chemical vapor deposition; CVD), 플라즈마 강화된 CVD (plasma enhanced CVD; PECVD), 원자 층 증착 (atomic layer deposition; ALD), 플라즈마 강화된 ALD (plasma enhance ALD; PEALD) 및/또는 다른 증착 프로세스들을 사용하여 전도성 막, 유전체 막, 또는 다른 타입들의 막을 증착하도록 수행될 수도 있다. 증착 동안, 기판은 기판 지지부 (예를 들어, 페데스탈) 상에 배치되고 (arrange) 그리고 하나 이상의 전구체 가스들은 하나 이상의 프로세스 단계들 동안 가스 분배 디바이스 (예를 들어, 샤워헤드) 를 사용하여 프로세싱 챔버에 공급될 수도 있다. PECVD 또는 PEALD 프로세스에서, 플라즈마는 증착 동안 프로세싱 챔버 내에서 화학 반응들을 활성화하도록 사용된다.
관련 출원들에 대한 교차 참조
본 출원은 2021년 7월 21일에 출원된 미국 가출원 번호 제 63/224,027 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
기판 상에서 증착 프로세스를 수행하도록 구성된 프로세싱 챔버를 위한 제어기는 프로세싱 챔버의 샤워헤드의 온도를 획득하도록 구성된 온도 모니터, 샤워헤드의 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 샤워헤드의 온도를 상관시키는 데이터에 기초하여 최적화된 증착 시간을 결정하도록 구성된 증착 시간 결정기; 및 결정된 최적화된 증착 시간에 기초하여 기판 상에서 증착 단계를 수행하도록 구성된 증착 최적화기를 포함한다.
다른 특징들에서, 온도 모니터는 샤워헤드의 온도를 나타내는 센서로부터의 신호를 수신하도록 구성된다. 센서는 샤워헤드 내에 배치된 (arrange) 온도 프로브이다. 최적화된 증착 시간을 결정하기 위해, 증착 시간 결정기는 기준 증착 시간 및 샤워헤드의 온도에 기초하여 최적화된 증착 시간을 결정하도록 구성된다. 최적화된 증착 시간을 결정하기 위해, 증착 시간 결정기는 (i) 샤워헤드의 온도가 상승함에 따라 최적화된 증착 시간을 감소시키고 샤워헤드의 온도가 감소함에 따라 최적화된 증착 시간을 증가시키는 것 및 (ii) 샤워헤드의 온도가 상승함에 따라 최적화된 증착 시간을 증가시키고 샤워헤드의 온도가 감소함에 따라 최적화된 증착 시간을 감소시키는 것 중 하나를 하도록 구성된다.
최적화된 증착 시간을 결정하기 위해, 증착 시간 결정기는 기준 증착 시간 및 보정 계수에 기초하여 최적화된 증착 시간을 결정하도록 구성된다. 증착 시간 결정기는 샤워헤드의 온도에 기초하여 보정 계수를 결정하도록 구성된다. 증착 시간 결정기는 축적물 및 기판 수 중 적어도 하나에 더 기초하여 보정 계수를 결정하도록 구성된다.
시스템은 제어기, 샤워헤드, 및 샤워헤드 내에 배치된 온도 프로브를 포함한다. 온도 프로브는 샤워헤드의 온도를 센싱하도록 구성된다. 샤워헤드는 능동 온도 제어를 위해 구성되지 않는다. 시스템은 복수의 샤워헤드들 및 샤워헤드들 내에 배치된 온도 프로브들을 더 포함한다. 증착 최적화기는 각각의 결정된 최적화된 증착 시간들에 기초하여 상이한 프로세싱 스테이션들에 배치된 기판들 상에서 증착을 독립적으로 수행하도록 구성된다.
프로세싱 챔버 내 기판 상에서 증착 프로세스를 수행하는 방법은 프로세싱 챔버의 샤워헤드의 온도를 획득하는 단계; 샤워헤드의 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 샤워헤드의 온도를 상관시키는 데이터에 기초하여 최적화된 증착 시간을 결정하는 단계; 및 최적화된 증착 시간에 기초하여 기판 상에서 증착 단계를 수행하는 단계를 포함한다.
다른 특징들에서, 방법은 샤워헤드의 온도를 나타내는 센서로부터의 신호를 수신하는 단계를 더 포함한다. 방법은 샤워헤드 내에 배치된 온도 프로브로부터의 신호를 수신하는 단계를 더 포함한다. 최적화된 증착 시간을 결정하는 단계는 기준 증착 시간 및 샤워헤드의 온도에 기초하여 최적화된 증착 시간을 결정하는 단계를 포함한다. 최적화된 증착 시간을 결정하는 단계는 (i) 샤워헤드의 온도가 상승함에 따라 최적화된 증착 시간을 감소시키고 그리고 샤워헤드의 온도가 감소함에 따라 최적화된 증착 시간을 증가시키는 단계 및 (ii) 샤워헤드의 온도가 상승함에 따라 최적화된 증착 시간을 증가시키고 그리고 샤워헤드의 온도가 감소함에 따라 최적화된 증착 시간을 감소시키는 단계 중 적어도 하나를 포함한다.
다른 특징들에서, 최적화된 증착 시간을 결정하는 단계는 기준 증착 시간 및 보정 계수에 기초하여 최적화된 증착 시간을 결정하는 단계를 포함한다. 방법은 샤워헤드의 온도에 기초하여 보정 계수를 결정하는 단계를 더 포함한다. 방법은 축적물 및 기판 수 중 적어도 하나에 더 기초하여 보정 계수를 결정하는 단계를 더 포함한다.
시스템은 기판 상에서 증착 프로세스를 수행하도록 구성된 프로세싱 챔버를 위한 샤워헤드 및 제어기를 포함한다. 제어기는 샤워헤드의 온도를 획득하고, 샤워헤드의 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 샤워헤드의 온도를 상관시키는 데이터에 기초하여 최적화된 증착 시간을 결정하고, 그리고 최적화된 증착 시간에 기초하여 기판 상에서 증착 단계를 수행하도록 구성된다. 샤워헤드는 샤워헤드의 온도를 센싱하고 그리고 샤워헤드의 온도를 나타내는 신호를 제어기에 제공하도록 구성된 온도 프로브를 포함하고, 그리고 샤워헤드는 능동 온도 제어를 위해 구성되지 않는다.
본 개시의 추가 적용 가능 영역들은 상세한 기술 (description) , 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 (description) 및 첨부된 도면들로부터 더 완전히 이해될 것이다.
도 1은 본 개시에 따른 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2는 본 개시에 따른 예시적인 제어기 및 샤워헤드이다.
도 3은 본 개시에 따른 증착 시간을 결정하기 위한 예시적인 방법의 단계들을 예시한다.
도 4는 본 개시에 따른 제어기를 구현하도록 구성된 예시적인 컴퓨팅 시스템이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 시스템들에서, 프로세스 균일성은 가스 분배 디바이스 (예를 들어, 프로세싱 챔버 내로 프로세스 가스들, 플라즈마, 등을 흘리도록 구성된 샤워헤드) 의 온도에 기초하여 가변할 수도 있다. 예를 들어, 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스의 증착 레이트는 샤워헤드 온도가 가변함에 따라 가변할 수도 있다. 일 예에서, 샤워헤드 온도가 상승함에 따라, 증착 두께가 또한 증가한다 (두께 경향 (thickness trending) 으로 지칭될 수도 있음).
일부 시스템들에서, 샤워헤드의 온도는 목표된 프로세스 균일성을 유지하도록 제어된다. 즉, 샤워헤드는 능동 온도 제어를 위해 구성될 수도 있다. 예를 들어, 샤워헤드는 공지된 프로세스 변동들을 보상하기 위해 미리 결정된 온도 제어 전략에 기초하여 온도를 제어하도록 임베딩된 (embed) 히터들로 가열될 수도 있고 그리고/또는 가스 또는 액체 냉각제로 냉각될 수도 있다. 일부 예들에서, 샤워헤드 온도는 수동적으로 제어된다. 예를 들어, 샤워헤드는 샤워헤드의 전극에 제공된 RF 전력을 사용하여 플라즈마를 생성함으로써 가열된다. 샤워헤드의 가열 또는 냉각은 샤워헤드 온도의 실시간 모니터링 없이 증착 프로세스를 시작하기 전에 그리고/또는 증착 프로세스 동안 수행될 수도 있다. 그러나, 이 방식으로 (예를 들어, 기판 각각 상에서 증착을 수행하기 전에) 샤워헤드 온도를 조정하는 것은 프로세스 시간을 증가시키고, 쓰루풋 (throughput) 을 감소시키고, 그리고 예측할 수 없거나 더 복잡한 온도 변동들을 보상하지 않을 수도 있다.
다른 예들에서, 샤워헤드는 기판의 프로세싱 동안 목표된 온도를 유지하도록 연속적으로 가열되고 그리고/또는 냉각될 수도 있다. 그러나, 샤워헤드 온도를 연속적으로 모니터링하고 조정하도록 구성된 시스템들은 설계 복잡성 및 비용을 증가시킨다.
본 개시에 따른 시스템들 및 방법들은 샤워헤드 온도를 연속적으로 조정하지 않고 샤워헤드 온도의 변동들을 보상하기 위해 프로세스 시간 (예를 들어, 증착 시간, 기간 또는 지속 기간) 과 같은 증착 파라미터들을 조정하도록 구성된다. 즉, 샤워헤드 온도를 조정하는 대신 (예를 들어, 시스템은 제어 가능한 히터, 예컨대 저항성 히터를 사용하여 샤워헤드 온도를 능동적으로 조정하도록 구성되지 않음), 증착 시간은 샤워헤드 온도의 변동들에 의해 유발된 증착 레이트들의 변화들을 보상하기 위해 증가되거나 감소될 수도 있다. 예를 들어, 저장된 데이터는 샤워헤드 온도를 증착 시간, 기준 증착 시간 동안 증착 두께, 증착 레이트, 등에 상관시킬 수도 있다. 본 명세서에 사용된 바와 같이, 기준 증착 시간은 목표된 증착 두께에 대한 디폴트 증착 시간에 대응한다. 따라서, 샤워헤드 온도의 변동들이 증착 단계 전에 그리고/또는 증착 단계 동안 모니터링될 때, 증착 시간은 샤워헤드 온도의 변동들에 기초하여 자동으로 조정될 수도 있다.
이제 도 1을 참조하면, 본 개시의 원리들에 따른 기판 프로세싱 시스템 (100) 의 일 예가 도시된다. 전술한 예는 PECVD 시스템들에 관한 것이지만, 다른 플라즈마-기반 기판 프로세싱 챔버들이 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸는 프로세싱 챔버 (104) 를 포함한다. 기판 프로세싱 시스템 (100) 은 제 1 전극 (108) 및 제 2 전극 (116) 을 포함하는 페데스탈 (112) 과 같은 기판 지지부를 포함한다. 예를 들어, 제 1 전극 (108) 은 상부 전극일 수도 있다. 제 2 전극 (116) 은 하부 전극일 수도 있다. 기판 (미도시) 이 프로세싱 동안 제 1 전극 (108) 과 제 2 전극 (116) 사이의 페데스탈 (112) 상에 배치된다 (arrange).
단지 예를 들면, 제 1 전극 (108) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (124) 를 포함할 수도 있다. 일부 예들에서, 샤워헤드 (124) 는 능동 온도 제어를 위해 구성되지 않을 수도 있다. 예를 들어, 샤워헤드 (124) 는 (예를 들어, 저항성 히터들, 냉각제 채널들을 통해 흐르는 냉각제 등을 사용하여) 능동적으로 가열 및/또는 냉각되도록 구성되지 않는다. 즉, 샤워헤드 (124) 는 능동 가열 컴포넌트들 (예를 들어, 임베딩된 저항성 히터들) 을 포함하지 않고 그리고/또는 능동 냉각 컴포넌트들 (예를 들어, 샤워헤드 (124) 전체에 냉각제를 흘리도록 구성되는 채널들) 을 포함하지 않는다. 제 2 전극 (116) 은 비전도성 페데스탈 내에 임베딩된 전도성 전극에 대응할 수도 있다. 대안적으로, 페데스탈 (112) 은 제 2 전극 (116) 으로서 작용하는 전도성 플레이트를 포함하는 정전 척을 포함할 수도 있다.
무선 주파수 (radio frequency; RF) 생성 시스템 (126) 은 플라즈마가 사용될 때 RF 전압을 생성하고 제 1 전극 (108) 및/또는 제 2 전극 (116) 에 RF 전압을 출력한다. 일부 예들에서, 제 1 전극 (108) 및 제 2 전극 (116) 중 하나는 DC 접지될 수도 있고, AC 접지될 수도 있고, 또는 플로팅 전위에 있을 수도 있다. 단지 예를 들면, RF 생성 시스템 (126) 은 RF 전압들을 생성하는 RF 생성기 (128) 와 같은 하나 이상의 RF 전압 생성기들 (128) (예를 들어, 용량 커플링 (capacitively-coupled) 플라즈마 RF 전력 생성기, 바이어스 RF 전력 생성기, 및/또는 다른 RF 전력 생성기) 을 포함할 수도 있다. RF 전압들은 하나 이상의 매칭 및 분배 네트워크들 (130) 에 의해 제 2 전극 (116) 및/또는 제 1 전극 (108) 에 피딩된다 (feed). 예를 들어, 도시된 바와 같이, RF 생성기 (128) 는 RF 및/또는 바이어스 전압을 제 2 전극 (116) 에 제공한다. 제 2 전극 (116) 은 전력 소스 (132) 와 같은 다른 전력 소스들로부터 대안적으로 또는 부가적으로 전력을 수용할 수도 있다. 다른 예들에서, RF 전압은 제 1 전극 (108) 에 공급될 수도 있고 또는 제 1 전극 (108) 은 접지 기준에 연결될 수도 있다.
예시적인 가스 전달 시스템 (140) 은 하나 이상의 가스 소스들 (144-1, 144-2, … 및 144-N) (집합적으로 가스 소스들 (144)) 을 포함하고, 여기서 N은 0보다 더 큰 정수이다. 가스 소스들 (144) 은 하나 이상의 가스들 (예를 들어, 전구체들, 불활성 가스들, 등) 및 이들의 혼합물들을 공급한다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (144) 중 적어도 하나는 본 개시의 전처리 프로세스에서 사용된 가스들 (예를 들어, NH3, N2, 등) 을 포함할 수도 있다. 가스 소스들 (144) 은 밸브들 (148-1, 148-2, …, 및 148-N) (집합적으로 밸브들 (148)) 및 질량 유량 제어기들 (mass flow controllers; MFC) (152-1, 152-2, …, 및 152-N) (집합적으로 MFC들 (152)) 에 의해 매니폴드 (154) 에 연결된다. 매니폴드 (154) 의 출력이 프로세싱 챔버 (104) 에 피딩된다. 단지 예를 들면, 매니폴드 (154) 의 출력은 샤워헤드 (124) 에 피딩된다.
일부 예들에서, 선택 가능한 (optional) 오존 생성기 (156) 가 MFC들 (152) 과 매니폴드 (154) 사이에 제공될 수도 있다. 일부 예들에서, 기판 프로세싱 시스템 (100) 은 액체 전구체 전달 시스템 (158) 을 포함할 수도 있다. 액체 전구체 전달 시스템 (158) 은 도시된 바와 같이 가스 전달 시스템 (140) 내에 통합될 수도 있고, 또는 가스 전달 시스템 (140) 외부에 있을 수도 있다. 액체 전구체 전달 시스템 (158) 은 버블러 (bubbler), 직접 액체 주입, 증기 인출, 등을 통해 상온에서 액체 및/또는 고체인 전구체들을 제공하도록 구성된다.
히터 (160) 는 페데스탈 (112) 을 가열하기 위해 페데스탈 (112) 내에 배치된 히터 코일 (162) 에 연결될 수도 있다. 히터 (160) 는 페데스탈 (112) 및 기판의 온도를 제어하도록 사용될 수도 있다.
밸브 (164) 및 펌프 (168) 가 프로세싱 챔버 (104) 로부터 반응 물질들을 배기하도록 사용될 수도 있다. 제어기 (172) 가 기판 프로세싱 시스템 (100) 의 다양한 컴포넌트들을 제어하도록 사용될 수도 있다. 단지 예를 들면, 제어기 (172) 는 프로세스 가스, 캐리어 가스 및 전구체 가스, 플라즈마 스트라이킹 (strike) 및 소화, 반응 물질들의 제거, 챔버 파라미터들의 모니터링, 등을 제어하도록 사용될 수도 있다. 제어기 (172) 는 기판 프로세싱 시스템 (100) 전체에 배치된 하나 이상의 센서들 (174) 을 통해 프로세스 파라미터들, 프로세싱 챔버 (104) 내의 조건들, 등을 나타내는 측정 신호들을 수신할 수도 있다.
본 개시에 따른 제어기 (172) 는 샤워헤드 (124) 의 온도를 모니터링하도록 더 구성된다. 제어기 (172) 는 샤워헤드 온도의 변동들을 보상하기 위해 프로세스 시간 (예를 들어, 증착 시간, 기간, 또는 지속 기간) 을 조정하도록 더 구성된다. 예를 들어, 샤워헤드 (124) 와 콘택트하고 그리고/또는 샤워헤드 (124) 내에 임베딩된 하나 이상의 센서들 (176) 은 증착 동안 샤워헤드 (124) 의 온도를 모니터링하도록 구성된다. 제어기 (172) 는 샤워헤드 온도를 나타내는 센서 (176) 로부터의 신호를 수신한다. 제어기 (172) 는 이하에 더 상세히 기술된 바와 같이 샤워헤드 (124) 의 모니터링된 온도에 기초하여 증착 시간을 선택적으로 (예를 들어, 주기적으로 또는 연속적으로) 결정하고 그리고 업데이트하도록 구성된다.
단일 프로세싱 챔버 (104) 및 페데스탈 (112) 에 대해 이하에 기술되지만, 본 개시의 원리들은 쿼드 스테이션 모듈 (quad station module; QSM) 과 같은, 복수의 프로세싱 스테이션들 및 페데스탈들을 포함하는 프로세싱 챔버들 및 복수의 프로세싱 챔버들을 포함하는 시스템들에서 구현될 수도 있다. 예를 들어, QSM의 대응하는 프로세싱 스테이션의 샤워헤드 (124) 각각은 온도를 모니터링하고 따라서 증착을 조정하기 위한 하나 이상의 센서들을 구현할 수도 있다. 즉, 프로세싱 스테이션 각각에서 증착 시간들은 샤워헤드들 (124) 중 각각의 샤워헤드들의 온도들을 보상하도록 독립적으로 조정될 수 있다.
이제 도 2를 참조하면, 본 개시에 따른 예시적인 시스템 (200) 은 샤워헤드 (208) 의 온도를 모니터링하도록 구성된 제어기 (204) (예를 들어, 제어기 (172) 에 대응함) 를 포함한다. 샤워헤드 (208) 는 능동 또는 수동 온도 조정을 위해 구성되지 않는다. 예를 들어, 샤워헤드 (208) 는 히터 (예를 들어, 저항성 히터) 를 포함하지 않는다. 제어기 (204) 는 샤워헤드 온도의 변동들을 보상하기 위해 증착 시간 (즉, 기간 또는 지속 기간) 을 조정한다. 예를 들어, 샤워헤드 (208) 는 프로세싱 챔버 (212) 에 프로세스 가스들을 제공하도록 배치된다.
샤워헤드 (208) 및 프로세싱 챔버 (212) 는 멀티-스테이션 프로세싱 툴 (예를 들어, 쿼드 스테이션 모듈) 의 단일 프로세싱 스테이션에 대응할 수도 있다. 제어기 (204) 는 각각의 프로세싱 스테이션들의 복수의 샤워헤드들의 온도들을 모니터링하고, 프로세싱 스테이션들 각각에서 증착 시간들을 독립적으로 조정하고, 등을 하도록 구성될 수도 있다. 대안적으로, 제어기 (204) 는 샤워헤드들 중 하나만 (예를 들어, 샤워헤드 (208)) 의 모니터링된 온도들에 기초하여 복수의 스테이션들의 증착 시간들을 조정할 수도 있다.
이 예에서, 온도 프로브 (216) 는 샤워헤드의 스템 (220) 을 통해 샤워헤드 (208) 의 베이스 (224) 내로 라우팅된다. 예를 들어, 온도 프로브 (216) 의 단부는 샤워헤드 (208) 의 하부 표면 (228) 근방에 또는 하부 표면 (228) 과 콘택트하여 위치될 수도 있다. 다른 예들에서, 하나 이상의 온도 센서들 (예를 들어, 열전대들 (thermocouples)) 이 샤워헤드 (208) 상에 배치되거나 임베딩된다. 단지 예를 들면, 2 개 이상의 온도 센서가 사용될 때 (즉, 2 개 이상의 센싱된 온도가 상이한 위치들로부터 제어기 (204) 로 제공됨), 제어기 (204) 는 복수의 센싱된 온도들의 평균에 기초하여 증착 시간을 조정할 수도 있다.
제어기 (204) 는 온도 모니터 (232), 증착 시간 결정기 (236), 및 증착 최적화기 (240) 를 포함한다. 온도 모니터 (232) 는 샤워헤드 (208) 의 온도를 나타내는 온도 프로브 (216) 로부터 하나 이상의 신호들을 수신하고 프로세싱한다. 예를 들어, 수신된 신호들은 아날로그 신호들일 수도 있다. 온도 모니터 (232) 는 온도들에 대응하는 디지털 값들로 아날로그 신호들을 변환하도록 구성될 수도 있다. 온도 모니터 (232) 는 센싱된 샤워헤드 온도를 나타내는 신호를 증착 시간 결정기 (236) 로 출력한다.
증착 시간 결정기 (236) 는 센싱된 샤워헤드 온도에 기초하여 증착 단계를 위한 증착 시간을 결정하도록 구성된다. 증착 두께는 샤워헤드 온도에 정비례할 (directly correlate) 수도 있다 (예를 들어, 선형 상관될 수도 있다). 예를 들어, 샤워헤드 온도가 상승함에 따라, 고정된 증착 지속 기간 동안 증착 두께가 또한 증가할 수도 있다. 반대로, 샤워헤드 온도가 감소함에 따라, 동일한 고정된 증착 지속 기간 동안 증착 두께가 또한 감소한다. 일부 예들에서, 증착 두께는 샤워헤드 온도가 상승함에 따라 감소할 수도 있고 그리고 샤워헤드 온도가 감소함에 따라 증가할 수도 있다. 증착 시간 결정기 (236) 는 샤워헤드 온도의 변동들을 보상하고 목표된 증착 두께를 달성하도록 증착 시간을 결정하고 선택적으로 조정한다.
일 예에서, 증착 시간 결정기 (236) 는 샤워헤드 온도를 수신하고 증착 단계 또는 프로세스를 시작하기 전에 증착 시간을 결정한다. 예를 들어, 증착 시간 결정기 (236) 는 이전 기판 상에서 증착을 수행하는 것에 후속하여 (즉, 순차적으로 연속적인 기판들 상에서 수행된 증착 단계들 사이) 다음 기판에 대한 증착 시간을 결정한다. 증착 시간 결정기 (236) 는 샤워헤드 온도 및 목표된 증착 두께에 기초하여 증착 시간을 결정한다. 대안적으로, 증착 시간 결정기 (236) 는 기준 또는 디폴트 증착 시간에 대한 조정 또는 오프셋 (예를 들어, 증착 시간 조정 백분율, 시간 오프셋, 등) 을 결정한다. 증착 시간 결정기 (236) 는 증착 시간 정보 (예를 들어, 결정된 증착 시간, 증착 시간 조정, 등) 를 증착 최적화기 (240) 에 제공한다. 증착 최적화기 (240) 는 증착 정보에 기초하여 증착 지속 기간 동안 증착 단계를 제어한다.
또 다른 예에서, 증착 시간 결정기 (236) 는 증착 단계 동안 센싱되고 수신된 샤워헤드 온도들에 기초하여 증착 시간을 계속해서 결정한다. 즉, 증착 단계를 시작하기 전에 한 번만 증착 시간을 결정하고 결정된 증착 시간 동안 증착 단계를 수행하는 대신, 증착 시간 결정기 (236) 는 증착 단계 동안 (즉, 증착 단계가 수행됨에 따라 실시간으로) 온도 변동에 기초하여 증착 시간을 더 조정할 수도 있다.
증착 시간 결정기 (236) 는 샤워헤드 온도를 증착 레이트, 기준 증착 시간 동안 증착 두께, 등에 상관시키는 데이터에 기초하여 증착 시간을 결정한다. 예를 들어, 데이터는 메모리 (244) 에 저장된 샤워헤드 온도 보상 데이터에 대응한다. 일 예에서, 저장된 데이터는 샤워헤드 온도를 증착 레이트, 증착 두께, 목표된 증착 두께에 대한 증착 시간, 등에 상관시키는 룩업 테이블을 포함할 수도 있다. 또 다른 예에서, 저장된 데이터는 증착 단계 전에 측정된 샤워헤드 온도 및 디폴트 또는 기준 증착 시간을 포함하지만, 이로 제한되지 않는 하나 이상의 입력들에 기초하여 증착 시간을 결정하도록 구성된 모델 또는 공식이다.
이제 도 3을 참조하면, 본 개시에 따른 증착 시간을 결정하는 예시적인 방법 (300) 이 도시된다. 예를 들어, 도 2의 시스템 (200) 은 방법 (300) 을 수행하도록 구성된다. (304) 에서, 샤워헤드 온도 보상 데이터가 생성되고 저장된다. 예를 들어, 샤워헤드 온도 보상 데이터는 상기 기술된 바와 같이, 샤워헤드 온도를 증착 레이트, 기준 증착 시간 동안 증착 두께, 등에 상관시키는 데이터이다. 일 예에서, 샤워헤드 온도가 모니터링되는 동안 (예를 들어, 동일한 증착 시간을 갖는 순차적인 증착 단계들에서) 복수의 기판들이 프로세싱된다. 증착이 완료된 후, 기판들의 각각의 증착 두께들이 측정된다. 이 방식으로, (동일한 증착 시간에) 증착 두께 각각에 대한 각각의 샤워헤드 온도들이 결정될 수 있다.
(308) 에서, 기판 상에서 증착 프로세스를 수행하도록 구성된 프로세싱 챔버 내의 기판 지지부 상에 기판이 배치된다. (312) 에서, 방법 (300) (예를 들어, 온도 모니터 (232)) 은 프로세싱 챔버의 샤워헤드의 온도를 결정한다. 예를 들어, 온도 모니터 (232) 는 샤워헤드의 온도를 센싱하도록 구성된 각각의 센서들 (예를 들어, 온도 프로브 (216)) 로부터 하나 이상의 신호들을 수신한다.
(316) 에서, 방법 (300) (예를 들어, 증착 시간 결정기 (236)) 은 샤워헤드 온도에 기초하여 증착 시간을 결정한다. 예를 들어, 증착 시간 결정기 (236) 는 상기 기술된 바와 같이 증착 시간 및/또는 두께에 샤워헤드 온도를 상관시키는 저장된 데이터에 기초하여 증착 시간을 결정한다. 일 예에서, 저장된 데이터는 DT'= DT * C에 따라 기준 증착 시간 DT 및 가변 보정 계수 C에 기초하여 조정된 (즉, 최적화된) 증착 시간 DT'를 결정하도록 구성된 모델 또는 공식이다. 일부 예들에서, 보정 계수는 샤워헤드 온도에 반비례한다. 따라서, 샤워헤드 온도가 상승함에 따라, 보정 계수 C가 (예를 들어, 기준 1로부터) 감소하고 그리고 최적화된 증착 시간 DT'가 감소한다. 다른 예들에서, 보정 계수는 샤워헤드 온도에 정비례한다. 따라서, 샤워헤드 온도가 상승함에 따라, 보정 계수 C가 증가하고 그리고 최적화된 증착 시간 DT'가 증가한다.
보정 계수 C는 샤워헤드 온도에만 기초하여 또는 샤워헤드 온도 및 다른 입력들 예컨대 축적물 (즉, 프로세싱 챔버 내의 증착 부산물들의 측정되거나 추정된 축적물의 양), 기판 수 (즉, 샤워헤드 온도에 영향을 미치는 미리 결정된 (given) 시퀀스 또는 시간 기간으로 프로세싱된 기판들 수), 등에 기초하여 결정될 수도 있다.
(320) 에서, 방법 (300) (예를 들어, 증착 최적화기 (240)) 은 결정된 최적화된 증착 시간에 대응하는 지속 기간 동안 증착 단계를 수행한다. 예를 들어, 증착 단계는 샤워헤드를 예열하지 않고 수행된다. (324) 에서, 기판은 프로세싱 챔버로부터 이송된다. (328) 에서, 방법 (300) 은 또 다른 기판 상에서 증착을 수행할지 여부를 결정한다. 참이면, 방법 (300) 은 (308) 로 계속된다. 거짓이면, 방법 (300) 은 (332) 에서 종료된다.
도 4는 도 2의 제어기 (204) 를 구현하도록 구성된 프로세서 (404) 및 메모리 (408) 를 포함하는 예시적인 컴퓨팅 시스템 (400) 을 도시한다. 예를 들어, 컴퓨팅 시스템 (400) 은 도 3의 방법 (300) 을 수행하도록 구성된다. 일 예에서, 프로세서 (404) 는 메모리 (408) 및/또는 비휘발성 스토리지 (412) 에 저장된 인스트럭션들을 실행하도록 구성된 특수 목적 프로세서이다. 메모리 (408) 는 휘발성 메모리 및/또는 비휘발성 메모리일 수도 있다. 비휘발성 스토리지 (412) 는 하나 이상의 하드 디스크 드라이브들, 반도체 스토리지 (예를 들어, 고체 상태 드라이브들), 등을 포함할 수도 있다.
컴퓨팅 시스템 (400) 은 사용자로부터 명령들 및 다른 입력을 수신하기 위한 키보드 또는 키패드, 터치스크린, 등과 같은 입력 디바이스들 (416) 을 포함할 수도 있다. 디스플레이 (420) 는 정보 (예를 들어, 프로세스 파라미터들, 이미지들, 등) 를 디스플레이하도록 구성된다. 통신 인터페이스 (424) 는 센서들, 제어기들, 다른 프로세싱 툴들, 등과 같은 컴퓨팅 시스템 외부의 디바이스들과 컴퓨팅 시스템 (400) 사이의 유선 통신 및/또는 무선 통신을 제공할 수도 있다.
샤워헤드 온도에 기초하여 증착 시간을 조정하는 것으로 상기 기술되었지만, 일부 예들에서 다른 프로세싱 파라미터들은 증착 시간을 조정하는 대신 또는 증착 시간을 조정하는 것에 더하여 샤워헤드 온도에 기초하여 조정될 수도 있다. 예를 들어, 본 개시에 따른 시스템들 및 방법들은 결정된 샤워헤드 온도에 기초하여 프로세스 가스 플로우 레이트들, 챔버 압력, RF 전력, 등을 조정하도록 구성될 수도 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들 (teachings) 은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시 예들의 피처들로 그리고/또는 임의의 다른 실시 예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 또 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 관계 및 기능적 관계는, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)" 및 "배치된 (disposed)"과 같은, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 과 같은, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들과 같은, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 용어 "제어기", "모니터", 결정기", 및 "최적화기"는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), 주문형 반도체 (application specific integrated circuits; ASICs) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템에 프로세스 레시피들을 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 이산 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제작 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 기판 상에서 증착 프로세스를 수행하도록 구성된 프로세싱 챔버를 위한 제어기에 있어서,
    프로세싱 챔버의 샤워헤드의 온도를 획득하도록 구성된 온도 모니터;
    상기 샤워헤드의 상기 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 상기 샤워헤드의 온도를 상관시키는 데이터에 기초하여 상기 최적화된 증착 시간을 결정하도록 구성된 증착 시간 결정기; 및
    상기 결정된 최적화된 증착 시간에 기초하여 상기 기판 상에서 증착 단계를 수행하도록 구성된 증착 최적화기를 포함하는, 프로세싱 챔버를 위한 제어기.
  2. 제 1 항에 있어서,
    상기 온도 모니터는 상기 샤워헤드의 온도를 나타내는 센서로부터의 신호를 수신하도록 구성되는, 프로세싱 챔버를 위한 제어기.
  3. 제 2 항에 있어서,
    상기 센서는 상기 샤워헤드 내에 배치된 (arrange) 온도 프로브인, 프로세싱 챔버를 위한 제어기.
  4. 제 1 항에 있어서,
    상기 최적화된 증착 시간을 결정하기 위해, 상기 증착 시간 결정기는 기준 증착 시간 및 상기 샤워헤드의 상기 온도에 기초하여 상기 최적화된 증착 시간을 결정하도록 구성되는, 프로세싱 챔버를 위한 제어기.
  5. 제 4 항에 있어서,
    상기 최적화된 증착 시간을 결정하기 위해, 상기 증착 시간 결정기는 (i) 상기 샤워헤드의 상기 온도가 상승함에 따라 상기 최적화된 증착 시간을 감소시키고 상기 샤워헤드의 상기 온도가 감소함에 따라 상기 최적화된 증착 시간을 증가시키는 것 및 (ii) 상기 샤워헤드의 상기 온도가 상승함에 따라 상기 최적화된 증착 시간을 증가시키고 상기 샤워헤드의 상기 온도가 감소함에 따라 상기 최적화된 증착 시간을 감소시키는 것 중 하나를 하도록 구성되는, 프로세싱 챔버를 위한 제어기.
  6. 제 1 항에 있어서,
    상기 최적화된 증착 시간을 결정하기 위해, 상기 증착 시간 결정기는 기준 증착 시간 및 보정 계수에 기초하여 상기 최적화된 증착 시간을 결정하도록 구성되는, 프로세싱 챔버를 위한 제어기.
  7. 제 6 항에 있어서,
    상기 증착 시간 결정기는 상기 샤워헤드의 상기 온도에 기초하여 상기 보정 계수를 결정하도록 구성되는, 프로세싱 챔버를 위한 제어기.
  8. 제 7 항에 있어서,
    상기 증착 시간 결정기는 축적물 및 기판 수 중 적어도 하나에 더 기초하여 상기 보정 계수를 결정하도록 구성되는, 프로세싱 챔버를 위한 제어기.
  9. 제 1 항에 기재된 제어기를 포함하고, 그리고
    상기 샤워헤드; 및
    상기 샤워헤드 내에 배치된 온도 프로브로서, 상기 온도 프로브는 상기 샤워헤드의 상기 온도를 센싱하도록 구성되는, 상기 온도 프로브를 더 포함하는, 시스템.
  10. 제 9 항에 있어서,
    상기 샤워헤드는 능동 온도 제어를 위해 구성되지 않는, 시스템.
  11. 제 9 항에 있어서,
    복수의 샤워헤드들 및 상기 샤워헤드들 내에 배치된 온도 프로브들을 더 포함하고, 상기 증착 최적화기는 각각의 결정된 최적화된 증착 시간들에 기초하여 상이한 프로세싱 스테이션들에 배치된 기판들 상에서 증착을 독립적으로 수행하도록 구성되는, 시스템.
  12. 프로세싱 챔버 내 기판 상에서 증착 프로세스를 수행하는 방법에 있어서,
    프로세싱 챔버의 샤워헤드의 온도를 획득하는 단계;
    상기 샤워헤드의 상기 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 상기 샤워헤드의 상기 온도를 상관시키는 데이터에 기초하여 상기 최적화된 증착 시간을 결정하는 단계; 및
    상기 최적화된 증착 시간에 기초하여 상기 기판 상에서 증착 단계를 수행하는 단계를 포함하는, 증착 프로세스 수행 방법.
  13. 제 12 항에 있어서,
    상기 샤워헤드의 상기 온도를 나타내는 센서로부터의 신호를 수신하는 단계를 더 포함하는, 증착 프로세스 수행 방법.
  14. 제 12 항에 있어서,
    상기 샤워헤드 내에 배치된 온도 프로브로부터의 신호를 수신하는 단계를 더 포함하는, 증착 프로세스 수행 방법.
  15. 제 12 항에 있어서,
    상기 최적화된 증착 시간을 결정하는 단계는 기준 증착 시간 및 상기 샤워헤드의 상기 온도에 기초하여 상기 최적화된 증착 시간을 결정하는 단계를 포함하는, 증착 프로세스 수행 방법.
  16. 제 15 항에 있어서,
    상기 최적화된 증착 시간을 결정하는 단계는 (i) 상기 샤워헤드의 상기 온도가 상승함에 따라 상기 최적화된 증착 시간을 감소시키고 그리고 상기 샤워헤드의 상기 온도가 감소함에 따라 상기 최적화된 증착 시간을 증가시키는 단계 및 (ii) 상기 샤워헤드의 상기 온도가 상승함에 따라 상기 최적화된 증착 시간을 증가시키고 그리고 상기 샤워헤드의 상기 온도가 감소함에 따라 상기 최적화된 증착 시간을 감소시키는 단계 중 적어도 하나를 포함하는, 증착 프로세스 수행 방법.
  17. 제 12 항에 있어서,
    상기 최적화된 증착 시간을 결정하는 단계는 기준 증착 시간 및 보정 계수에 기초하여 상기 최적화된 증착 시간을 결정하는 단계를 포함하는, 증착 프로세스 수행 방법.
  18. 제 17 항에 있어서,
    상기 샤워헤드의 상기 온도에 기초하여 상기 보정 계수를 결정하는 단계를 더 포함하는, 증착 프로세스 수행 방법.
  19. 제 18 항에 있어서,
    축적물 및 기판 수 중 적어도 하나에 더 기초하여 상기 보정 계수를 결정하는 단계를 더 포함하는, 증착 프로세스 수행 방법.
  20. 기판 상에서 증착 프로세스를 수행하도록 구성된 프로세싱 챔버를 위한 샤워헤드; 및
    제어기를 포함하고, 상기 제어기는,
    상기 샤워헤드의 온도를 획득하고,
    상기 샤워헤드의 상기 획득된 온도 및 최적화된 증착 시간, 증착 두께, 및 증착 레이트 중 적어도 하나와 상기 샤워헤드의 상기 온도를 상관시키는 데이터에 기초하여 상기 최적화된 증착 시간을 결정하고, 그리고
    상기 최적화된 증착 시간에 기초하여 상기 기판 상에서 증착 단계를 수행하도록 구성되는, 시스템.
KR1020247005651A 2021-07-21 2022-07-15 PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상 KR20240031415A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163224027P 2021-07-21 2021-07-21
US63/224,027 2021-07-21
PCT/US2022/037273 WO2023003768A1 (en) 2021-07-21 2022-07-15 Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system

Publications (1)

Publication Number Publication Date
KR20240031415A true KR20240031415A (ko) 2024-03-07

Family

ID=84980504

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247005651A KR20240031415A (ko) 2021-07-21 2022-07-15 PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상

Country Status (5)

Country Link
EP (1) EP4373992A1 (ko)
KR (1) KR20240031415A (ko)
CN (1) CN117716066A (ko)
TW (1) TW202309339A (ko)
WO (1) WO2023003768A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2006128380A (ja) * 2004-10-28 2006-05-18 Toshiba Corp 半導体装置の製造方法および製造装置
JP5346256B2 (ja) * 2009-09-02 2013-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20170133202A1 (en) * 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
WO2019009092A1 (ja) * 2017-07-05 2019-01-10 株式会社アルバック プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
TW202309339A (zh) 2023-03-01
CN117716066A (zh) 2024-03-15
WO2023003768A1 (en) 2023-01-26
EP4373992A1 (en) 2024-05-29

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US10096506B2 (en) Reducing temperature transition in a substrate support
US9951423B2 (en) Systems and methods for measuring entrained vapor
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US9864361B2 (en) Flexible temperature compensation systems and methods for substrate processing systems
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
US10509425B2 (en) Virtual metrology method for ESC temperature estimation using thermal control elements
KR20180106931A (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
US10121709B2 (en) Virtual metrology systems and methods for using feedforward critical dimension data to predict other critical dimensions of a wafer
TWI828733B (zh) 補償腔室及製程效應以改善修整製程的臨界尺寸變異
US10725485B2 (en) System and method for calculating substrate support temperature
US11078570B2 (en) Azimuthal critical dimension non-uniformity for double patterning process
KR20240031415A (ko) PECVD 증착 시스템에서 두께 경향 (thickness trending) 에 대한 샤워헤드 온도 기반 증착 시간 보상
CN114514594A (zh) 包含预热喷头的低温等离子体增强化学气相沉积处理
US20230002901A1 (en) Pressure batch compensation to stabilize cd variation for trim and deposition processes
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
US20210143037A1 (en) Determining and controlling substrate temperature during substrate processing
WO2023022877A1 (en) Showerhead to pedestal gapping with differential capacitive sensor substrate