TW201740489A - 在化學氣相沉積製程期間的動態晶圓校平、傾斜、旋轉 - Google Patents

在化學氣相沉積製程期間的動態晶圓校平、傾斜、旋轉 Download PDF

Info

Publication number
TW201740489A
TW201740489A TW106113217A TW106113217A TW201740489A TW 201740489 A TW201740489 A TW 201740489A TW 106113217 A TW106113217 A TW 106113217A TW 106113217 A TW106113217 A TW 106113217A TW 201740489 A TW201740489 A TW 201740489A
Authority
TW
Taiwan
Prior art keywords
substrate support
substrate
dynamically adjusting
mounting position
gas distribution
Prior art date
Application number
TW106113217A
Other languages
English (en)
Other versions
TWI677042B (zh
Inventor
阿米古莫 班莎
君卡洛斯 羅莎亞凡利斯
卡希克 加納基拉曼
段安 阮
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201740489A publication Critical patent/TW201740489A/zh
Application granted granted Critical
Publication of TWI677042B publication Critical patent/TWI677042B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本說明書所述實施例概略有關在沉積製程期間對基板支撐件及氣體分配媒介之間之製程間距的動態、即時控制。於沉積製程期間的任何時間,運用多維自由度來改變基板平面相對於氣體分配媒介的角度及間距。如此,在沉積製程期間可校平、傾斜、旋轉、搖晃及/或移動基板及/或基板支撐件,以達到改善的薄膜均勻度。此外,由於在基板平面相對於噴灑頭之校平的持續變異,可得到對各層的獨立調校,以均衡基板上的有效沉積,因而改良整體的堆疊沉積效能。

Description

在化學氣相沉積製程期間的動態晶圓校平、傾斜、旋轉
本揭示案之實施例概略關於半導體製造,更特定言之為在沉積製程期間用於達成形成在基板上之層的所欲厚度均勻性的方法及設備。
半導體元件的製造涉及藉由氣體的化學反應在半導體基板上形成薄膜。此種沉積製程稱為化學氣相沉積(CVD)。習知的熱CVD製程供應反應氣體至基板表面,在基板表面上能發生熱致化學反應以產生所欲薄膜。電漿增進CVD製程藉由對接近基板表面之反應區施加能量(像是射頻(RF)能量),藉以製造具高反應性物質的電漿,來促進反應氣體的激發及/或解離。所釋放之物質的高反應減少一將發生化學反應所需的能量,因此降低此種CVD製程的溫度。
基板於腔室中進行處理的期間(像是在基板上形成一層的期間)是放在一基板支撐件上。基板支撐件常見為基板加熱器,其在基板處理期間支撐並加熱該基板。基板放在該加熱器的加熱器表面上方,而熱被供至該基板的底部。一些基板加熱器為電阻加熱,例如藉由設置在加熱器表面之下或是嵌入於該加熱器表面之上或之中的一板中的電阻線圈的電性加熱。來自基板加熱器的熱是在用於沉積包括無摻雜矽玻璃(USG)層、摻雜矽玻璃層、及類似層的熱驅動製程(像是熱CVD)中的主要能量源。
基板支撐件常見將基板支撐在氣體分配媒介對面,反應氣體透過該氣體分配媒介供應至腔室中。氣體分配媒介經常為一氣體分配組合件的部分,該氣體分配組合件用於供應一或更多氣體至腔室。氣體分配組合件的形式可為平面噴灑頭、面板、曲線噴灑頭、一連串噴嘴,或固定於一位置處的任何形式。從氣體分配媒介往基板的氣流影響在基板上形成之層的均勻性,像是該層的厚度。此外,該基板支撐件對於基板平面與分配化學蒸氣所在的位置之間之製程間距敏感。
常見進行基板支撐件的定位及/或移動以調整基板的位置供處理。一旦達到所欲的位置,常見維持該位置以確保該基板在沉積製程期間不移動。然而,即使在沉積期間限制基板的移動,仍存在沉積的不均勻性。
因此,本領域需要的是用於致使對氣體分配組件與基板支撐件之間的製程間距能動態、即時地控制,使得能在沉積期間有多維自由度去改變基板平面相對於氣體分配媒介的角度及間距。
本說明書所述實施例概略有關在沉積製程期間對基板支撐件與氣體分配媒介之間之製程間距的動態、即時控制。於沉積製程期間的任何時間,運用多維自由度來改變基板平面相對於氣體分配媒介的角度及間距。如此,在沉積製程期間可校平、傾斜、旋轉、搖晃及/或移動基板及/或基板支撐件,以達到改善的薄膜均勻度。此外,由於在基板平面相對於噴灑頭之水平的持續變異,可得到對各層的獨立調校,以均衡基板上的有效沉積,因而改良整體的堆疊沉積效能。
在一實施例中,揭露一種控制在一氣體分配媒介與一基板支撐件之間的處理間距的方法,該基板支撐件設置在該氣體分配媒介對面。該方法包括:(a) 在設置在該基板支撐件上之一基板上沉積一層,(b) 量測該基板上之該層的一厚度,及(c) 計算該基板上之一參考位置與該基板上之複數個其餘位置之間的厚度差異。該方法進一步包括(d) 根據該參考位置與該複數個其餘位置之間的該厚度差異,決定該複數個其餘位置相對於該參考位置的處理間距調整量,及(e) 即時地動態調整該處理間距,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異。該動態調整步驟發生在該沉積步驟期間。
在另一實施例中揭露一種控制一氣體分配媒介及一基板支撐件之間的處理間距的方法,該基板支撐件設置在該氣體分配媒介對面。該方法包括將該基板支撐件動態調整於耦合至該基板支撐件的一第一安裝位置,以及將該基板支撐件動態調整於耦合至該基板支撐件的一第二安裝位置。將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟發生在一化學氣相沉積製程期間且為即時的,以減少沉積不均勻性的差異。
在又一另外實施例中,揭露一種控制一氣體分配媒介及一基板支撐件之間的處理間距的方法,該基板支撐件設置在該氣體分配媒介對面。該方法包括(a) 在設置在該基板支撐件上之一基板上沉積一層,(b) 量測該基板上之該層的一厚度,及(c) 計算該基板上之一參考位置與該基板上之複數個其餘位置之間的厚度差異。該方法亦包括(d) 根據該參考位置與該複數個其餘位置之間的該厚度差異,決定該複數個其餘位置相對於該參考位置的處理間距調整量,及(e) 即時地將該基板支撐件動態調整於耦合至該基板支撐件的一第一安裝位置,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異。該方法亦包括(f) 即時地將該基板支撐件動態調整於耦合至該基板支撐件的一第二安裝位置,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異,其中各動態調整步驟發生在該沉積步驟期間。
本說明書所述實施例概略有關在沉積製程期間對基板支撐件及氣體分配媒介之間之製程間距的動態、即時控制。於沉積製程期間的任何時間,運用多維自由度來改變基板平面相對於氣體分配媒介的角度及間距。如此,在沉積製程期間可校平、傾斜、旋轉、搖晃及/或移動基板及/或基板支撐件,以達到改善的薄膜均勻度。此外,由於在基板平面相對於噴灑頭之校平的持續變異,可得到對各層的獨立調校,以均衡基板上的有效沉積,因而改良整體的堆疊沉積效能。
如本說明書中所述,「基板」或「基板表面」概略指稱在其上進行處理的任何基板表面。例如,依應用而異,一基板表面可包括矽、氧化矽、摻雜矽、矽鍺、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料(像是金屬、金屬氮化物、金屬合金、及其他導電或半導電材料)。基板或基板表面可亦包括介電材料,像是二氧化矽、氮化矽、有機矽酸酯、及碳摻雜氧化矽或氮化物材料。「基板」一詞可進一步包括「晶圓」一詞。基板本身不限制為任何特定大小或形狀。儘管本說明書描述的實施例概略指稱圓形基板,但按照本說明書所述實施例,可運用像是多角形、正方形、矩形、曲線形、或其他非圓形工件的其他形狀。
第1圖示意地圖示一方法100的操作,該方法用於控制一處理腔室中的氣體分配組件與基板支撐件之間的處理間距。在一些實施例中,該基板支撐件經設置在該氣體分配組件對面,使得該基板支撐件與該氣體分配組件之間的範圍存在一處理容積。該處理容積可為在基板支撐平面及該氣體分配組件之固定平面(於該處分配化學蒸氣)之間設置的一空隙。在一些實施例中,該氣體分配組件可經由一平面噴灑頭、一曲線噴灑頭、一連串噴嘴、或經固定在一位置處的任何其他形狀因子的分配媒介來分配化學蒸氣。方法100可套用於運用基板支撐件的全部化學氣相沉積(CVD)製程。方法100致使能動態且即時控制該處理間距,並進一步允許在沉積製程期間隨時有多維自由度去改變基板平面相對於氣體分配媒介的角度及間距。
該處理腔室可亦包括一控制器。該控制器促進方法100的控制及自動化。該控制器可經耦合至下列中一或更多者(或與之通訊):處理腔室、基板支撐件、各量測位置、各量測裝置、及/或氣體分配組件。在一些實施例中,各量測裝置可提供有關基板處理、薄膜沉積、薄膜均勻度、基板支撐件移動及其他資訊給該控制器。
控制器可包括一中央處理單元(CPU)、記憶體、及支援電路(或I/O)。CPU可為使用在工業設定中以供控制各種製程及硬體(例如型樣產生器、馬達、及其他硬體)並監測該製程(例如處理時間及基板位置或地點)的任何形式的電腦處理器。該記憶體連接至CPU,且可為隨時可得之記憶體中一或更多種,像是隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式的數位儲存器,無論在本地或遠端。能在記憶體內編碼及儲存有軟體指令及資料,以供指示CPU。支援電路亦連接至CPU以供以習知的方式支援該處理器。支援電路可包括習知的快取、電源供應、時脈電路、輸入/輸出電路系統、次系統、等等。該控制器所能讀取的程式(或電腦指令)實施本說明書所述方法,且/或決定在基板或基板支撐件上可進行哪些任務。該程式可為該控制器能讀取的軟體,且可包括用以監測及控制(例如)該處理腔室內之基板支撐件的處理時間及基板位置或地點的程式碼。
於操作110,在設置在一基板支撐件上之一基板上沉積一層。該層可為在CVD處理腔室內的各種氣相與基板之加熱表面之間之反應結果所形成的薄膜塗佈。於操作120,量測該基板上該層的厚度。可於該基板上的複數個位置處量測該層的厚度。該基板支撐件可包括複數個量測位置以量測該基板(或基板支撐件)與該氣體分配組件之間的間距。在一些實施例中,各量測位置可包含安裝在其上的一量測裝置。量測位置的個數及接近度可有不同。
於操作130,計算該基板上之一參考位置與該基板上的複數個其餘位置之間的厚度差異。該參考位置可經預先決定或即時選擇。任何點可被選擇為參考位置,而計算該參考位置與該等其餘位置之間的厚度差異。該參考位置與該等其餘位置之各者之間的厚度差異除以沉積次數以得到該參考位置與該等其餘位置之間的沉積速率差量(differential)。
於操作140,根據該參考位置與該複數個其餘位置之間的該厚度差異除以用於在該基板上形成該層的沉積次數,來決定該複數個其餘位置相對於該參考位置的處理間距調整量。在一些實施例中,可藉由乘以用於在該基板上形成該層的沉積次數,以先前決定的一相關因數改進該處理間距調整量,以提供該層的改善厚度均勻性。該相關因數與一比例成正比,該比例是間距之改變量除以該層之沉積厚度速率。間距的改變量可藉由針對各其餘位置而言在該氣體分配組件及該基板支撐件之間的空間差異所決定。
於操作150,該處理間距經即時地動態調整,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異。該動態調整步驟發生在該沉積步驟期間。在一些實施例中該動態調整步驟可在該沉積步驟期間發生至少一次,而在其他實施例中該動態調整步驟可在該沉積步驟期間發生多次。在其他實施例中,該動態調整步驟可在該沉積步驟期間持續發生。曾經進行測試而結果顯示基板上的層的沉積速率能相關於基板及氣體分配組件之間的間距,而因此藉由改變基板在沉積製程期間所放在的基板支撐件的傾斜能調整在該基板上形成該層之厚度的均勻性。
該動態調整步驟可包括在沉積製程期間對該基板或基板支撐件校平、傾斜、旋轉、搖晃、搖動、震動、或類似者。在一些實施例中,該動態調整步驟可包括在沉積期間持續地改變該基板或該基板支撐件的一平面。在其他實施例中,該動態調整步驟可包括持續地改變該複數個其餘位置之各者的一平面。進一步,該動態調整步驟可包括改變該基板支撐件之一平面相對於該氣體分配組件的一角度及/或間距。
在一些實施例中,可重複操作110~150之各者直到該沉積步驟完成。
第2圖示意地圖示一方法200的操作,該方法用於控制氣體分配組件與設置在該氣體分配組件對面的基板支撐件之間的處理間距。該氣體分配組件及該基板支撐件各經設置在一處理腔室中,及在一些實施例中,該氣體分配組件經設置鄰接該基板支撐件。在一些實施例中,該基板支撐件經設置在該氣體分配組件對面,使得在基板支撐件與氣體分配組件之間的範圍中存在一處理容積。有關方法200所揭露的氣體分配組件、基板支撐件、處理腔室、空隙、及/或處理容積可大致類似有關方法100所揭露的處理容積(如上所討論)。此外,有關方法200所揭露的處理腔室可亦包括一控制器。該控制器促進方法200的控制及自動化,且實質上類似於有關如上討論之方法100所揭露的控制器。方法200可套用於運用基板支撐件的所有化學氣相沉積(CVD)製程。方法200致使能動態且即時控制該處理間距,並進一步允許在沉積期間隨時有多維自由度去改變基板平面相對於氣體分配組件的角度及間距。
於操作210,將該基板支撐件動態調整於耦合至該基板支撐件的一第一安裝位置。在特定實施例中,該基板支撐件可耦合至一或更多調整組件。此外,在一些實施例中,該一或更多調整組件可於分佈在該基板支撐件上的複數個安裝位置處耦合至該基板支撐件。該等調整組件可獨立地調整來改變於安裝位置處該基板支撐件與該氣體分配板之間的間距。如此,對於複數個對應安裝位置處該基板支撐件與該氣體分配板之間的間距的改變因而調整了該基板支撐件相對於該氣體分配組件的傾斜。在一些實施例中,該一或更多安裝位置可相對於該基板支撐件的中心而圍繞該基板支撐件均勻地分佈。此外,該一或更多安裝位置可經設置在該基板支撐件的底側上。在一些實施例中,可運用至少三個安裝位置,各安裝位置具有一調整組件操作耦合至該安裝位置。
於操作220,將該基板支撐件動態調整於耦合至該基板支撐件的一第二安裝位置。將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟發生在一化學氣相沉積製程期間且為即時的,以減少沉積不均勻性的差異。
各動態調整步驟可包括在沉積製程期間對該基板支撐件或該基板進行校平、傾斜、旋轉、或搖晃之一者。在特定實施例中,將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟同時發生,而在其他實施例中各動態調整步驟可發生於不同時間,及(或)開始於分開的時間。方法200可進一步包括重複將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟,直到該化學氣相沉積製程完成。
此外,在特定實施例中,方法200可進一步包括將該基板支撐件動態調整於耦合至該基板支撐件的一第三安裝位置,其中將該基板支撐件動態調整於該第三安裝位置的步驟發生在該化學氣相沉積製程期間且為即時,以減少沉積不均勻性的差異。在包含了將該基板支撐件動態調整於該第三安裝位置的步驟的實施例中,將該基板支撐件動態調整於該第一安裝位置的步驟、將該基板支撐件動態調整於該第二安裝位置的步驟及將該基板支撐件動態調整於該第三安裝位置的步驟可同時發生,或者,在一些實施例中,各步驟可開始於不同時間,及/或各步驟可發生於分開的時間。
第3圖示意地圖示一方法300的操作,該方法用以控制在一氣體分配組件與設置在該氣體分配組件對面的一基板支撐件之間之處理間距。該氣體分配組件及該基板支撐件各經設置在一處理腔室中,而在一些實施例中,該氣體分配組件經設置為鄰接該基板支撐件。在一些實施例中,該基板支撐件經設置在該氣體分配組件對面,使得在基板支撐件與氣體分配組件之間的範圍中存在一處理容積。有關方法300所揭露的氣體分配組件、基板支撐件、處理腔室、空隙、及/或處理容積可大致類似有關方法100及/或方法200所揭露的處理容積(如上所討論)。此外,有關方法300所揭露的處理腔室可也包括一控制器。該控制器促進方法300的控制及自動化,且實質上類似於有關如上討論之方法100及/或方法200所揭露的控制器。方法300可套用於運用基板支撐件的所有化學氣相沉積(CVD)製程。方法300致使能動態且即時控制該處理間距,並進一步允許在沉積期間隨時有多維自由度去改變基板平面相對於氣體分配媒介的角度及間距。
於操作310,在設置在該基板支撐件上之一基板上沉積一層。該層可為在CVD處理腔室內的各種氣相與基板之加熱表面之間之反應結果所形成的薄膜塗佈。於操作320,量測該基板上所設置的該層的厚度。可於該基板上的一個位置處或複數個位置處量測該層的厚度。該基板支撐件可包括複數個量測位置以量測該基板(或基板支撐件)與該氣體分配組件之間的間距。在一些實施例中,各量測位置可包含安裝在其上的一量測裝置。量測位置的個數及接近度可有不同。
於操作330,計算該基板上之一參考位置與該基板上的複數個其餘位置之間的厚度差異。該參考位置可經預先決定或即時選擇。任何點可被選擇為參考位置,而計算該參考位置與該等其餘位置之間的厚度差異。該參考位置與該等其餘位置之各者之間的厚度差異除以沉積次數以得到該參考位置與該等其餘位置之間的沉積速率差量。
於操作340,針對該複數個其餘位置決定相對於該參考位置的處理間距調整量。該處理間距調整量的決定是根據該參考位置與該複數個其餘位置之間的該厚度差異。在一些實施例中,該決定步驟包括將該參考位置與該複數個其餘位置中各其餘位置之間的厚度差異除以在該基板上形成該層的沉積次數,再乘以一先前決定的相關因數,以提供該層改善的厚度均勻性。該相關因數與一比例成正比,該比例是間距之改變量除以該層之沉積厚度速率。間距的改變量可為或各其餘位置在該氣體分配組件及該基板支撐件之間的空間差異。
於操作350,該基板支撐件經即時地動態調整於耦合至該基板支撐件的一第一安裝位置,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異。在特定實施例中,該基板支撐件可耦合至一或更多調整組件。此外,在一些實施例中,該一或更多調整組件可於分佈在該基板支撐件上的一或更多安裝位置處耦合至該基板支撐件。該等調整組件可獨立地調整以改變該等安裝位置處該基板支撐件與該氣體分配板之間的間距。如此,對於複數個對應安裝位置處該基板支撐件與該氣體分配板之間的間距的改變因而調整了該基板支撐件相對於該氣體分配組件的傾斜。在一些實施例中,該一或更多安裝位置可相對於該基板支撐件的中心而圍繞該基板支撐件均勻地分佈。此外,該一或更多安裝位置可經設置在該基板支撐件的底側上。在一些實施例中,可運用至少三個安裝位置,各安裝位置具有一調整組件操作耦合至該安裝位置。
於操作360,將該基板支撐件動態調整於耦合至該基板支撐件的一第二安裝位置,以減少該參考位置與該複數個其餘位置中各其餘位置的該厚度差異。於操作350及操作360之各者,該動態調整步驟發生在該沉積步驟期間。在特定實施例中,方法300可進一步包括重複操作310、操作320、操作330、操作340、及操作350之各者直到沉積步驟完成。各動態調整步驟可包括在沉積製程期間對該基板支撐件或基板進行校平、傾斜、旋轉、或搖晃中之一者。
在特定實施例中,將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟同時發生,而在其他實施例中各動態調整步驟可發生於不同時間,及/或開始於分開的時間。此外,將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟發生在一化學氣相沉積製程期間且為即時的,以減少沉積不均勻性的差異。
此外,在特定實施例中,方法300可進一步包括將該基板支撐件動態調整於耦合至該基板支撐件的一第三安裝位置,其中將該基板支撐件動態調整於該第三安裝位置的步驟發生在該化學氣相沉積製程期間且為即時,以減少沉積不均勻性的差異。在包含了將該基板支撐件動態調整於該第三安裝位置的步驟的實施例中,將該基板支撐件動態調整於該第一安裝位置的步驟、將該基板支撐件動態調整於該第二安裝位置的步驟及將該基板支撐件動態調整於該第三安裝位置的步驟各者可同時發生,或者,在一些實施例中,各步驟可開始於不同時間,及/或各步驟可發生於分開的時間。
在特定實施例中,藉由於將一或更多安裝位置處(如上所討論)的垂直調整自動化來達到動態的基板校平、傾斜、旋轉、或搖晃。在一些實施例中,對於操作連接至該基板支撐件的至少兩安裝位置的垂直調整可在維持第三安裝位置固定的同時進行可校平、傾斜、旋轉、或搖晃該基板支撐件。
第4A圖示意地圖示單一CVD反應器400之實施例的截面圖。在一些實施例中,以及如第4A圖中所繪,反應器400可包括一處理腔室450、一電源416、一氣體控制板436、一泵送系統438及一控制器446。
本說明書所揭露的CVD反應器400及/或處理腔室450可被運用以進行如上所討論的方法100、方法200、或方法300。
處理腔室450一般包括一底組合件454、一上組合件452、及一底座升舉組合件431。處理腔室450可包括額外的設備(如下說明)以控制或改變腔室環境。
底組合件454包含一腔室主體456,該腔室主體具有一壁406,其局部地界定處理腔室450的內部。壁406可大致為圓柱形且由一蓋子410封閉於上端。壁406的分區可經溫度調節。例如,在一些實施例中,可在壁406中設置複數個管道(未圖示),該等管道經配置以循環熱傳送流體來調節壁406的溫度。
在底組合件454中設置有一基板支撐件411以供在處理期間支撐基板。基板支撐件411可包括一加熱器420,其經配置以調節該基板的溫度及/或處理腔室450之處理468容積中的溫度。加熱器420耦合至電源416,且在一些實施例中,該加熱器可維持基板的溫度達到約攝氏800度。
在腔室主體456的壁406中可定位一狹縫閥開口414,以促成基板進出處理腔室450的進出口。
上組合件452一般包括蓋子410,並可進一步包括氣體饋入口、一氣體混合器、一遠端電漿源、及一或更多氣體分配組件466。蓋子410可經可移動地耦合至底組合件454。蓋子410可進一步包括一或更多溝槽或通道478以供從中流通熱傳送流體以協助將蓋子410維持於一所欲溫度。在一些實施例中,可提供一分岐管480來從通道478來回轉送熱傳送流體。
氣體控制板436提供製程化學物質(以液體及/或氣體形式)至處理腔室450。氣體控制板436利用複數個氣體線路耦合至蓋子410。各氣體線路可經調適以從氣體控制板436傳送特定化學物質至進入口458,且各氣體線路可受溫度控制。在一些實施例中,可在一蓋子分歧管464中提供一或更多氣體饋入口462,該蓋子分岐管464耦合至蓋子410的上表面以促進輸送製程化學物質至製程腔室450。
蓋子分岐管464一般透過蓋子410提供製程材料至製程腔室。在一些實施例中,蓋子410可包括一進入口458及一混合器413。混合器413可通往一氣體分配組件466(像是噴灑頭)以供向處理腔室450(像是處理容積468)的內部提供製程材料。噴灑頭透過複數個開口提供從氣體控制板436輸送之氣體或蒸氣的分配。
例如,在處理期間,饋入氣體可在透過進入口458至蓋子410中的混合器413及一第一阻隔板404中的孔洞(未圖示)之前,透過氣體輸送系統(例如氣體控制板436及所關聯的設備)進入處理腔室450。饋入氣體接著行經第一阻隔板404及一第二阻隔板405之間所建立的一混合區域402。第二阻隔板405結構上由一面板延伸件403支撐。在饋入氣體透過第二阻隔板405中的孔洞穿過之後,該饋入氣體流過面板408中的孔洞並進入由腔室壁406、面板408、及基板支撐件411所限定的主要處理區域。可選地,處理腔室450可包括一插入件(insert)401,其經設置在腔室壁406之上表面及蓋子410之間,該插入件經加熱以提供熱給面板延伸件403以加熱一混合區域402。
一底座升舉組合件431經耦合至處理腔室450的一基座460並進一步耦合至基板支撐件411。底座升舉組合件431可包含一升舉機構430、一升舉板418、及一組升舉銷422。操作中,底座升舉組合件431控制基板支撐件411在一處理位置及一降下位置之間的上升,該基板可從該降下位置透過狹縫閥開口414來從處理腔室450來回輸送。基板支撐件411利用一可撓伸縮管432耦合至腔室主體456,以維持處理腔室450內部及外部之間的氣密密封。
一泵送系統438一般包括一節流閥或一或更多個泵,該節流閥或該一或更多個泵經排列以控制處理腔室450之內容積中的壓力。從處理腔室450流出的氣體經繞送透過一泵送環(pumping ring)以增強跨於該基板表面的氣流均勻性。例如,排出氣體可透過一排氣泵送板(exhaust pumping plate)409、一泵送口426、以及最終透過泵送系統438離開腔室,該泵送口形成在壁406中且耦合至排氣泵送板409。排氣泵送板409經配置以控制從腔室之處理區域的排氣流動。排氣泵送板409可包括往下延伸的邊緣(skirt),該邊緣形成有複數個孔洞407從中在一分段中穿過。排氣泵送板409之該邊緣的該分段具有孔洞407(圖中顯示成一連串狹縫形的孔洞),其促成補償靠近狹縫閥開口414處的熱損失。在一些實施例中,排氣泵送板409可具有一排氣板罩412,其放在排氣泵送板409的頂端。
第4B圖示意地圖示一設備500,該設備用於動態調整經設置在處理腔室450(如第4A圖中所示)中的基板支撐件,以進行本說明書中揭露的方法。如第4A圖中顯示,基板支撐件411經設置在處理腔室450中鄰接一氣體分配組件466,使得在其間形成一處理容積468。如第4B圖中所示,一支撐件504可圍繞底座升舉組合件431。支撐件504耦合至一安裝板502,該安裝板可耦合至基板支撐件411。安裝板502可包括一支撐托架514以供在安裝板502上的一安裝位置520處耦合至少一個調整組件518。在一些實施例中,安裝板502可包括三個安裝位置520,各安裝位置具有一調整組件518耦合至該安裝位置。
各調整組件518可伸長及/或收縮以調整調整組件518的長度,因而製造校平、傾斜、旋轉、或搖晃。在一些實施例中,一馬達522可調整各調整組件518的長度。調整組件518有一相配組件516連接至其。在一些實施例中,相配組件516是一球接頭介面,其延伸自調整組件518並連接至支撐件504。在一些實施例中該球接頭介面可經鎖定或位於特定安裝位置520處。在一些實施例中,一第一球接頭介面可經鎖定,同時一第二球接頭介面及/或一第三球接頭介面經致使能提供該基板支撐件圍繞該等球接頭位置的垂直調整、校平、傾斜、旋轉、或搖晃。在一些實施例中,可經由一馬達522的使用來自動化垂直調整、校平、傾斜、旋轉、或搖晃。
回到第4A圖,處理腔室450可進一步包括一控制器446。控制器446可促進處理腔室450、安裝板502、基板支撐件411、及/或以上的任何組件的控制及自動化。控制器446可耦合至處理腔室450、基板支撐件411、底座升舉組合件431、調整組件518、安裝板502及/或氣體分配組件466及其他組件中之一或更多者(或與其通訊)。此外,在一些實施例中,控制器446可控制及/或自動化製程間距調校。當被給予前次處理行程的基板效能,控制器446可接收有關所述基板效能的回饋並進一步決定一個新平面,以從基板上結果將歪斜(skew)動態調整、移位、校平、旋轉、傾斜、搖晃、及/或移動。如此,控制器446可包含進一步程式編寫以供基於前次製程間距及所達成結果的回饋迴圈。在特定實施例中,經自動化的程序可即時發生。
控制器446可包括一中央處理單元(CPU)451、記憶體443、及支援電路(或I/O)453。CPU 451可為使用在工業設定中以供控制各種製程及硬體(例如型樣產生器、馬達、及其他硬體)並監測該製程(例如處理時間及基板位置或地點)的任何形式的電腦處理器。記憶體443連接至CPU 451,且可為隨時可得之記憶體中一或更多種,像是隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式的數位儲存器,無論在本地或遠端。能在記憶體443內編碼及儲存有軟體指令及資料,以供指示CPU 451。支援電路453亦連接至CPU 451以供以習知的方式支援該處理器。支援電路453可包括習知的快取、電源供應、時脈電路、輸入/輸出電路系統、次系統、等等。該控制器所能讀取的程式(或電腦指令)實施本說明書所述方法,且/或決定在基板或基板支撐件上可進行哪些任務。該程式可為該控制器能讀取的軟體,且可包括用以監測及控制(例如)該處理腔室內之基板支撐件的處理時間及基板位置或地點的程式碼。
藉由將沉積期間特定安裝位置的調整結合以垂直自由度來自動化,基板支撐平面能輕易擺平(sweep through)處理容積468圍繞至少一個調整組件518及/或至少一個相配組件516(像是球接頭)與至少一個安裝位置520的間距變化。
可運用未圖示的各種其他設備來校平、傾斜、旋轉、搖動、調整、及/或搖晃該基板支撐件或基板,且可包括一蝸輪傳動設備,其可包括連接至基板支撐件的至少一個螺紋安裝螺柱、一滾珠螺桿傳動設備、或一空氣支承裝置,以及其他。
本揭示案的益處包括動態調整及改變處理容積內經設置在一基板支撐件與一氣體分配組件之間的製程間距。進一步益處包括隨時在沉積製程期間校平基板支撐件及/或基板,以達成更高水平的薄膜沉積均勻性。額外地,運用各調整組件的獨立校平或調校以改善整體的堆疊沉積效能。
額外的益處包括經由製程間距的動態調整,在傳導性及/或來自氣體分配組件之流動之中對RF耦合的調變。RF生成電漿對於電極間(例如氣體分配組件到底座)的間距是敏感的。能在配方之間動態改變製程間距的能力將每個薄膜之薄膜沉積效能最佳化,以改善一堆疊薄膜的整體效能。經由校平、旋轉、傾斜、搖晃、及/或移動所為的此種動態調整被連同能夠夾持基板之加熱器一起運用,以改善在要求持續基板移動之製程上的基板穩定度。
此外,該動態調整步驟可亦連同能夠夾持基板之加熱器一起運用,以改善在運用持續基板移動之製程上的基板穩定度。
曾經進行測試,而結果顯示在沉積期間基板支撐表面相對於氣體分配組件(像是噴灑頭或電極)的持續變化允許均衡一基板上的有效沉積。電極間空隙中的變異誘發不同沉積速率,而此種控制能選擇性地控制一區域的沉積速率或是使整個基板平均。此外,在非電漿耦合製程中,結果顯示變化噴灑頭之間的空隙誘發不同的流傳導性(flow conductances),因而產生不同的前驅物滯留時間。此種控制直接影響薄膜沉積厚度,因此使能有一控制進一步改善薄膜厚度均勻性。
總結來說,本說明書所述實施例概略有關在沉積製程期間對基板支撐件及氣體分配媒介之間之製程間距的動態、即時控制。於沉積製程期間的任何時間,運用多維自由度來改變基板平面相對於氣體分配媒介的角度及間距。如此,在沉積製程期間可校平、傾斜、旋轉、搖晃及/或移動基板及/或基板支撐件,以達到改善的薄膜均勻度。此外,由於在基板平面相對於噴灑頭之校平的持續變異,可得到對各層的獨立調校,以均衡基板上的有效沉積,因而改良整體的堆疊沉積效能。
儘管以上乃針對本揭示案之實施例,但可在沒有背離本揭示案之基本範疇下設想出本揭示案的其他及進一步實施例,而本揭示案的範疇乃由以下申請專利範圍決定。
100、200、300‧‧‧方法
110~150‧‧‧操作
210~220‧‧‧操作
310~360‧‧‧操作
400‧‧‧反應器
401‧‧‧插入件
402‧‧‧混合區域
403‧‧‧面板延伸件
404‧‧‧第一阻隔板
405‧‧‧第二阻隔板
406‧‧‧壁
407‧‧‧孔洞
408‧‧‧面板
409‧‧‧排氣泵送板
410‧‧‧蓋子
411‧‧‧基板支撐件
412‧‧‧排氣板罩
413‧‧‧混合器
414‧‧‧狹縫閥開口
416‧‧‧電源
418‧‧‧升舉板
420‧‧‧加熱器
422‧‧‧升舉銷
426‧‧‧泵送口
430‧‧‧升舉機構
431‧‧‧底座升舉組合件
432‧‧‧可撓伸縮管
436‧‧‧氣體控制板
438‧‧‧泵送系統
443‧‧‧記憶體
446‧‧‧控制器
450‧‧‧處理腔室
451‧‧‧CPU(中央處理單元)
452‧‧‧上組合件
453‧‧‧支援電路
454‧‧‧底組合件
456‧‧‧腔室主體
458‧‧‧進入口
460‧‧‧基座
462‧‧‧氣體饋入口
464‧‧‧蓋子分歧管
466‧‧‧氣體分配組件
468‧‧‧處理容積
478‧‧‧通道
480‧‧‧分岐管
500‧‧‧設備
502‧‧‧安裝板
504‧‧‧支撐件
514‧‧‧支撐托架
516‧‧‧相配組件
518‧‧‧調整組件
520‧‧‧安裝位置
522‧‧‧馬達
以上簡單摘要了本揭示案,以詳細理解本揭示案之前述特徵的方式,因此能藉由參照本案實施例(其中部分圖示於隨附圖式中)來對本揭示案做更特定的說明。然而,應注意隨附圖式僅為例示實施例而非因此被認定為限制其範疇,可承認有其他同等有效的實施方式。
第1圖示意地圖示按照本說明書所述的實施例,在沉積製程期間用於控制處理間距的一方法的操作。
第2圖示意地圖示按照本說明書所述的實施例,在沉積製程期間用於控制處理間距的一方法的操作。
第3圖示意地圖示按照本說明書所述的實施例,在沉積製程期間用於控制處理間距的一方法的操作。
第4A圖示意地圖示按照本說明書所述的實施例之處理腔室的截面圖。
第4B圖示意地圖示按照本說明書所述實施例的一種設備,該設備用於連同第4A圖之處理腔室使用。
為了促進瞭解,已儘可能使用相同參照符號來指稱圖式之間共享的元件。無需進一步說明,可想到一實施例中的元件及特徵可有益地被併入其他實施例中。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧方法
110~150‧‧‧操作

Claims (20)

  1. 一種控制一氣體分配組件與一基板支撐件之間的處理間距的方法,該基板支撐件設置在該氣體分配組件對面,該方法包含下列步驟: (a)在設置在該基板支撐件上之一基板上沉積一層;(b)量測該基板上之該層的一厚度;(c)計算該基板上之一參考位置與該基板上之複數個其餘位置之間的厚度差異;(d)根據該參考位置與該複數個其餘位置之間的該厚度差異,決定該複數個其餘位置相對於該參考位置的處理間距調整量;及(e)即時地動態調整該處理間距,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異,其中該動態調整步驟發生在該沉積步驟期間。
  2. 如請求項1所述之方法,進一步包含下列步驟: (f)重複步驟(a)至(e)直到該沉積步驟完成。
  3. 如請求項1所述之方法,其中該動態調整步驟包括下列步驟:在沉積期間校平、傾斜、旋轉或搖晃該基板支撐件或該基板。
  4. 如請求項1所述之方法,其中該動態調整步驟包含下列步驟: 持續地改變該複數個其餘位置之各者的一平面。
  5. 如請求項1所述之方法,其中決定該處理間距調整量的步驟包含下列步驟: 決定該參考位置與該複數個其餘位置中之各其餘位置之間的一厚度差異,除以用於在該基板上形成該層的一沉積次數;及乘以先前決定的一相關因數,以提供該層的改善厚度均勻性。
  6. 如請求項5所述之方法,其中該相關因數與一比例成正比,該比例是間距之改變量除以該層之一沉積厚度速率。
  7. 如請求項1所述之方法,其中該動態調整步驟進一步包括下列步驟:改變該基板支撐件之一平面相對於該氣體分配組件的一角度及間距。
  8. 如請求項1所述之方法,其中該動態調整步驟發生於複數個樞轉點。
  9. 一種控制一氣體分配組件及一基板支撐件之間的處理間距的方法,該基板支撐件設置在該氣體分配組件對面,該方法包含下列步驟: 將該基板支撐件動態調整於耦合至該基板支撐件的一第一安裝位置;及 將該基板支撐件動態調整於耦合至該基板支撐件的一第二安裝位置,其中將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟發生在一化學氣相沉積製程期間且為即時的,以減少沉積不均勻性的一差異。
  10. 如請求項9所述之方法,其中將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟同時發生。
  11. 如請求項9所述之方法,其中將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟各發生於不同時間。
  12. 如請求項9所述之方法,進一步包含下列步驟: 將該基板支撐件動態調整於耦合至該基板支撐件的一第三安裝位置,其中將該基板支撐件動態調整於該第三安裝位置的步驟發生在該化學氣相沉積製程期間且為即時,以減少沉積不均勻性的一差異。
  13. 如請求項12所述之方法,其中將該基板支撐件動態調整於該第一安裝位置的步驟、將該基板支撐件動態調整於該第二安裝位置的步驟及將該基板支撐件動態調整於該第三安裝位置的步驟同時發生。
  14. 如請求項12所述之方法,其中將該基板支撐件動態調整於該第一安裝位置的步驟、將該基板支撐件動態調整於該第二安裝位置的步驟及將該基板支撐件動態調整於該第三安裝位置的步驟各開始於不同時間。
  15. 如請求項12所述之方法,其中將該基板支撐件動態調整於該第一安裝位置的步驟、將該基板支撐件動態調整於該第二安裝位置的步驟及將該基板支撐件動態調整於該第三安裝位置的步驟各發生於分開的時間。
  16. 如請求項9所述之方法,進一步包含重複將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟,直到該化學氣相沉積製程完成。
  17. 一種控制一氣體分配組件及一基板支撐件之間的處理間距的方法,該基板支撐件設置在該氣體分配組件對面,該方法包含下列步驟: (a)在設置在該基板支撐件上之一基板上沉積一層;(b)量測該基板上之該層的一厚度;(c)計算該基板上之一參考位置與該基板上之複數個其餘位置之間的厚度差異;(d)根據該參考位置與該複數個其餘位置之間的該厚度差異,決定該複數個其餘位置相對於該參考位置的處理間距調整量;(e)即時地將該基板支撐件動態調整於耦合至該基板支撐件的一第一安裝位置,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異;及(f)即時地將該基板支撐件動態調整於耦合至該基板支撐件的一第二安裝位置,以減少該參考位置與該複數個其餘位置中之各其餘位置之間的該厚度差異,其中各動態調整步驟發生在該沉積步驟期間。
  18. 如請求項17所述之方法,進一步包含下列步驟: (g)重複步驟(a)至(f)直到該沉積步驟完成。
  19. 如請求項17所述之方法,其中各動態調整步驟包括下列步驟:在沉積期間校平、傾斜、旋轉或搖晃該基板支撐件或該基板。
  20. 如請求項17所述之方法,其中將該基板支撐件動態調整於該第一安裝位置的步驟及將該基板支撐件動態調整於該第二安裝位置的步驟各發生於分開的時間。
TW106113217A 2016-04-22 2017-04-20 在化學氣相沉積製程期間的動態晶圓校平、傾斜、旋轉 TWI677042B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/136,611 US10438860B2 (en) 2016-04-22 2016-04-22 Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US15/136,611 2016-04-22

Publications (2)

Publication Number Publication Date
TW201740489A true TW201740489A (zh) 2017-11-16
TWI677042B TWI677042B (zh) 2019-11-11

Family

ID=60088564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106113217A TWI677042B (zh) 2016-04-22 2017-04-20 在化學氣相沉積製程期間的動態晶圓校平、傾斜、旋轉

Country Status (5)

Country Link
US (1) US10438860B2 (zh)
KR (2) KR102164599B1 (zh)
CN (1) CN109075025B (zh)
TW (1) TWI677042B (zh)
WO (1) WO2017184293A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114807902A (zh) * 2021-01-29 2022-07-29 优材科技有限公司 半导体反应装置与反应方法
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) * 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11557473B2 (en) * 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR102263718B1 (ko) 2019-06-10 2021-06-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US20210017647A1 (en) * 2019-07-18 2021-01-21 Robert Bosch Gmbh Localized surface coating defect patching process
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
CN112410764A (zh) * 2019-08-23 2021-02-26 长鑫存储技术有限公司 气相沉积装置、调整方法、装置、系统、介质和电子设备
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN110842781A (zh) * 2019-11-26 2020-02-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种非接触式测量方法及装置
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
US11449026B2 (en) 2020-05-27 2022-09-20 Applied Materials, Inc. Variable loop control feature
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115874167B (zh) * 2023-02-22 2023-05-30 江苏邑文微电子科技有限公司 多工序pecvd设备的喷淋组件自动调平方法和装置
KR102582241B1 (ko) * 2023-03-08 2023-09-25 (주)네오스테크놀로지스 커브드 lm 오토 레벨링 제어장치

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
US6419802B1 (en) 2001-03-16 2002-07-16 David Alan Baldwin System and method for controlling deposition thickness by synchronously varying a sputtering rate of a target with respect to a position of a rotating substrate
US6563578B2 (en) 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US7354332B2 (en) * 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7216734B2 (en) 2003-09-25 2007-05-15 Kobelco Construction Machinery Co., Ltd. Construction machine
CN101454486B (zh) 2006-04-04 2013-03-13 索罗能源公司 用于卷绕处理光电薄膜的组分控制
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
US7851233B2 (en) 2009-03-26 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. E-chuck for automated clamped force adjustment and calibration
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US9869021B2 (en) * 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114807902A (zh) * 2021-01-29 2022-07-29 优材科技有限公司 半导体反应装置与反应方法
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法
CN114807902B (zh) * 2021-01-29 2024-02-27 优材科技有限公司 半导体反应装置与反应方法

Also Published As

Publication number Publication date
KR20180127536A (ko) 2018-11-28
US10438860B2 (en) 2019-10-08
KR20200053660A (ko) 2020-05-18
CN109075025A (zh) 2018-12-21
CN109075025B (zh) 2023-06-02
WO2017184293A1 (en) 2017-10-26
US20170309528A1 (en) 2017-10-26
TWI677042B (zh) 2019-11-11
KR102164599B1 (ko) 2020-10-12

Similar Documents

Publication Publication Date Title
TW201740489A (zh) 在化學氣相沉積製程期間的動態晶圓校平、傾斜、旋轉
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
TWI761337B (zh) 基板處理系統
JP6789932B2 (ja) 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
US9624578B2 (en) Method for RF compensation in plasma assisted atomic layer deposition
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR20040004391A (ko) 반도체 처리 공정을 위한 가스 분산 장치
JP2015175060A (ja) 成膜装置
TW202124751A (zh) 鉬沉積
US10519545B2 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
TWI810205B (zh) 用於加熱器基座的平衡環組件
US20230223238A1 (en) Increasing plasma uniformity in a receptacle
WO2020112608A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20230399741A1 (en) Sublimation control using downstream pressure sensing
TW202239272A (zh) 下游電漿腔室中的邊緣自由基通量最佳化