TWI499688B - 改良膜厚度之不均勻性與粒子表現的cvd設備 - Google Patents

改良膜厚度之不均勻性與粒子表現的cvd設備 Download PDF

Info

Publication number
TWI499688B
TWI499688B TW099112587A TW99112587A TWI499688B TW I499688 B TWI499688 B TW I499688B TW 099112587 A TW099112587 A TW 099112587A TW 99112587 A TW99112587 A TW 99112587A TW I499688 B TWI499688 B TW I499688B
Authority
TW
Taiwan
Prior art keywords
cover
assembly
gas
disposed
coupled
Prior art date
Application number
TW099112587A
Other languages
English (en)
Other versions
TW201105815A (en
Inventor
Binh Tran
Anqing Cui
Bernard L Hwang
Son T Nguyen
Anh N Nguyen
Sean M Seutter
Xianzhi Tao
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201105815A publication Critical patent/TW201105815A/zh
Application granted granted Critical
Publication of TWI499688B publication Critical patent/TWI499688B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

改良膜厚度之不均勻性與粒子表現的CVD設備
本發明之實施例大體上是關於半導體基材處理設備與技術。
積體電路包含藉由各種技術所沉積之材料的多層,該等技術包括化學氣相沉積。如此,透過化學氣相沉積(CVD)在半導體基材上沉積材料是製造積體電路之製程中關鍵的步驟。典型的CVD腔室可具有用於在處理期間加熱基材的加熱之基材支撐件、用於將製程氣體導進腔室的氣體通口、以及用於維持腔室內處理壓力以及移除過剩氣體或處理副產物的泵抽通口。發明人已觀察到,由於導進處理腔室且朝向泵抽通口的氣體流態之故,其難以維持基材上具有均勻的沉積輪廓。此外,內部腔室部件發射率的差異導致腔室內的熱分佈輪廓不均勻,因此基材上的熱分佈輪廓亦不均勻。亦然,熱的不均勻性也會由腔室的一般非對稱設計引發,例如,一側包括用以引進及送出基材的狹縫閥,而該泵抽通口一般配置在該腔室的相對側。發明人進一步觀察到,橫跨基材表面的熱分佈輪廓中的此不均勻性會進一步導致基材上材料沉積的不均勻性。此依序導致在平整化中或在進一步處理之前需以其他方式修復基材所造成的進一步花 費,或導致積體電路一起失敗。
因此,發明人已提供一種改良的設備,以用於在CVD腔室中均勻地沉積材料於基材上。
本發明之實施例提供用於諸如藉由化學氣相沉積(CVD)在基材上沉積層的改良設備。在此所揭露的本發明之設備可有利地助於一種或多種沉積膜在給定的處理腔室內能具有減少的膜厚度不均勻性、改良的粒子表現(例如,在處理腔室中所形成的膜上粒子減少)、在複數個處理腔室中匹配的腔室對腔室表現,以及改良的處理腔室耐用性。
在一些實施例中,一種用於處理基材的設備可包括:一處理腔室,其具有一下部組件以及一上部組件,該上部組件透過一鉸合件以可移動式耦接該下部組件,其中該下部組件包括一腔室主體,該腔室主體具有配置在該腔室主體中的一基材支撐組件,且其中該上部組件包括一蓋組件;以及一氣體饋通件(gas feedthrough),其耦接至該腔室主體以及該蓋,以助於一氣體從一氣體盤流至該處理腔室的內部,其中該氣體饋通件包含耦接至該蓋組件的一上部主體以及耦接至該腔室主體的一下部主體,其中該上部主體包括一個或多個上部通口,而該下部主體包括對應的一個或多個下部通口,且其中當該蓋 在關閉位置時,該一個或多個上部通口匹配該對應的一個或多個下部通口。
該等及其他優點將於下文更詳盡描述。
本發明之實施例提供用於在基材上沉積層的改良之設備。化學氣相沉積(CVD)、次大氣壓化學氣相沉積(SACVD)、快速熱化學氣相沉積(RTCVD)以及低壓化學氣相沉積(LPCVD)全為可在本發明設備中利於執行的沉積方法。可根據在此提供之教示而修改的CVD處理腔室之範例包括SiNgen® 、SiNgen® -Plus、BTBAS、以及POLYGENTM 腔室,其全可購自美國加州Santa Clara的應用材料公司。
在此揭露的本發明設備可有利地助於一種或多種沉積膜在給定的處理腔室內能具有減少的膜厚度不均勻性、改良的粒子表現(例如,在處理腔室中所形成的膜上粒子減少)、在複數個處理腔室中匹配的腔室對腔室表現,以及改良的處理腔室耐用性。該等及其他優點將於下文更詳盡描述。
第1圖為單一晶圓CVD反應器100之實施例的剖面視圖。在一些實施例中,以及如第1圖所繪,反應器100可包括處理腔室150、電源116、氣體盤136、泵抽系統138以及控制器146。
處理腔室150大體上包括底部組件154、上部組件152以及底座舉升組件131。處理腔室150可含有如下文進一步描述的額外設備,諸如反射器板、或其他經定製以助於熱傳的機構、測量腔室狀況的探針、排氣組件以及其他支撐基材且控制腔室環境的設備。
底部組件154包含具有壁106的腔室主體156,該壁部份界定處理腔室150的內部。壁106可實質上為圓柱狀且在上端由蓋110封閉。壁106的多個區段可為熱調控式。舉例而言,在一些實施例中,複數個導管(圖中未示)可配置在壁106中且經裝設以循環熱傳流體以調控壁的溫度。
基材支撐組件111配置於底部組件154中,用於在處理期間支撐基材(圖中未示)。基材支撐組件111可包括加熱器120,該加熱器經裝設以調控基材溫度及/或處理腔室150的內部空間中的溫度。加熱器120耦接至電源116,且在一些實施例中,能夠維持基材122於高達約800℃的溫度。
狹縫閥開口114可位於腔室主體156的壁106中,以助於將基材送進處理腔室150以及將基材從處理腔室150移出。在一些實施例中,狹縫閥襯墊115可用於減少通過狹縫閥開口114的熱損失。舉例而言,第12圖描繪根據本發明一些實施例之第1圖的狹縫閥襯墊115的三維視圖。狹縫閥襯墊115可藉由引導製程氣流並且減少通狹縫閥的熱傳而減少通過狹縫閥開口114的熱損 失。
回到第1圖,上部組件152大體上包含蓋110且可進一步包括氣體進料入口、氣體混合器、遠端氣體源以及一個或多個氣體分配板,如下文所述。蓋110可藉由鉸合件或其他適合的機構以可移動式耦接至下部組件154。
舉例而言,第6圖描繪根據本發明一些實施例之處理腔室650的簡化透視圖。處理腔室650具有類似第1圖中所繪的處理腔室150之特徵結構。在一些實施例中以及如第6圖中所繪,蓋110可藉由蓋支撐件以及鉸合組件611(亦顯示於第6A圖)耦接至下部組件154的腔室主體156。該組件611包括鉸合件610,該鉸合件包含一對下臂620,該對下臂透過鉸合桿621耦接至相對的一對上臂622。下臂620可耦接至腔室主體156的底部組件154。上臂622可耦接至腔室主體156的上部組件152並且可包括一個或多個蓋支撐板626以將蓋與其固定(例如使用螺栓)。
可提供柄614以助於開啟及關閉蓋110。為助於容易開啟及關閉沉重的蓋110,且為防止損害蓋110、腔室主體156及/或操作者,諸如氣體填充活塞612之類的機構可設在鉸合件610之一側或雙側上,且耦接至蓋110與腔室主體156以支撐蓋610的大部分重量,並且防止蓋610關閉得太快。在一些實施例中,可設減震器624以在關閉蓋110時提供進一步的緩衝。
在一些實施例中,蓋支撐件及鉸合組件611可經裝設 以容許蓋110浮置,因而助於使蓋110良好地對準腔室主體156,並且提供二者之間較佳的密封(例如,其可藉由有利提供更平均的壓力至配置於其之間的密封件或墊片,諸如顯示於第1圖及第6圖的O環153)。腔室主體156上蓋110此般的改良位置利於減少漏損的風險。在一些實施例中,複數個蓋支撐銷628可從蓋支撐板626垂直延伸以充當線性軸承,在該線性軸承上,蓋110可移動以助於容許蓋110浮置。
在一些實施例中,鉸合件610可配置在腔室主體156的側面上鄰接如群集工具的傳送腔室(圖中未示),CVD反應器100與該傳送腔室附接(例如,鉸合件610可配置在含有狹縫閥開口114的腔室主體156之側面上)。此組態有利地改良存取腔室以例如運作腔室。舉例而言,在此組態中,CVD反應器100的蓋110可從相對於群集工具之側面開啟,在該處可得更多操縱空間。
回至第1圖,蓋110可進一步包括一個或多個溝槽或通道178,以使熱傳流體(諸如水)穿過該等溝槽或通道以幫助蓋110維持於期望溫度。在一些實施例中,可設歧管180以發送熱傳流體進出通道178。在一些實施例中,歧管180可為一體的且可焊接至蓋110以減少任何熱傳流體的漏損。歧管180包括一個或多個通路184,該等通路184配置成穿過其中,並且對準一個或多個對應的通路182,該等通路182形成於蓋110中且與一個或多個通道178流體連通。
氣體盤136提供液體及/或氣態形式的製程化學物質至處理腔室150。氣體盤136使用複數個氣體線路耦接至蓋110。每一氣體線路可選擇性地適於從氣體盤136傳送特定化學物質至入口通口158,且可為溫度控制式。在一些實施例中,一個或多個氣體進料入口162可設於蓋歧管164中,該蓋歧管164耦接至蓋110的上表面以助於傳遞製程化學物質至處理腔室150。在一些實施例中,複數個氣體進料入口162可設以傳遞複數個進料氣體至處理腔室150。進料氣體可從個別氣體源(諸如氣體盤136)透過一個或多個耦接至氣體進料入口162的氣體饋通件(第1圖中未示)提供。
在一些習知腔室中,氣體饋通件可部份設於主體156內而部份設於蓋110內,因而需要準確的對準以避免漏損、污染、及/或不精確的氣體流量。然而,在本發明的一些實施例中,一個或多個氣體饋通件可設於主體及蓋外部。
舉例而言,第6圖描繪根據本發明一些實施例之處理腔室650的簡化透視圖,該腔室具有開啟位置的蓋(第7圖描繪具有關閉位置的蓋之處理腔室650)。處理腔室650具有類似第1圖所繪示之處理腔室150之特徵結構。在一些實施例中,處理腔室650包括氣體饋通件602,該等氣體饋通件位於主體156及蓋110外部,或可相對主體156及蓋110調整。每一氣體饋通件602包括上部主體604以及下部主體606,該上部主體具有一個或多 個通口608,而該下部主體具有當蓋110處於關閉位置時匹配的一個或多個相對應的通口608。可在上部主體604及下部主體606之間設一密封件(諸如墊片或O環(圖中未示))以助於防止進料氣體漏損。在一些實施例中,在每一對應的一對上部主體604及下部主體606中之至少一者可調整以助於當蓋110處於關閉位置時微調在其之間的耦接,因而容許補償O環或密封件尺寸的差異或循環之間的蓋對準之差異等。再者,相較於配置在腔室主體之更內部位置的氣體饋通件而言,氣體饋通件602所處的周邊位置助於易於檢查漏損。
在一些習知設計中,從氣體饋通件耦接至蓋歧管的製程氣體可透過獨立通道發送,該等獨立通道在每一端具有O環以防止透過個別通道漏損。然而,此類設計增加了由設置更多接點所造成的潛在失敗點,以及使O環接近來自遠端電漿源(在操作時)的電漿串流,其會導致該等O環過早磨損及/或受損傷。
在本發明一些實施例中以及如第8圖所示,提供至氣體饋通件602的多重氣體可透過單一導管802發送至蓋歧管164(例如,多重氣體入口810、812可耦接至導管802)。導管802可促進藉由擴散流穿其中的製程氣體的混合。在一些實施例中,可設置可移除的混合器814以促進混合製程氣體。在一些實施例中,導管802可以非垂直的方式終結於蓋歧管164處,如此,透過氣體進料入口162進入蓋歧管的氣體傾向形成漩渦。在一些實施 例中,導管802可形成於手臂804中從蓋歧管164延伸並且與之一體成形,因而避免為了透過導管802發送製程氣體而需要O環接近蓋歧管164。
在一些實施例中,可設加熱器818以加熱流過導管802的氣體。加熱器可為任何適合的加熱器(諸如電阻式加熱器),且可耦接至手臂804的外表面,插進手臂804中的開口(圖中未示),或配置於手臂804內。在一些實施例中,可設感測器816以提供對應流過導管802之氣體溫度的資料。在一些實施例中,感測器816可為熱電偶。在一些實施例中,手臂804可與蓋110隔開以減少從手臂804至蓋110的熱量之熱傳。
氣體饋通件602的上部主體604可以任何適合的方式(諸如以螺栓等(圖中未示))耦接手臂804,且可包括配置於其之間的O環808以助於減少或消除穿過接點的氣體漏損。藉由避免O環接近蓋歧管164(以及接近來自遠端電漿源的電漿串流)的需要,本發明的設計消除了損害此類O環的風險,O環損壞會導致粒子物進入氣體串流及/或氣體從腔室漏損。再者,藉由減少氣體傳遞系統的O環數量,本發明進一步減少了由於O環失效所造成的漏損或粒子之風險。
參考第1圖及第8A圖,其更詳細地描繪蓋歧管以及遠端電漿源組件,該蓋歧管164可進一步包括開口166,其用於耦接至遠端電漿源(圖中僅示遠端電漿源的出口168)。可設支撐托架170以將遠端電漿源固定至蓋110。 可設夾箝174將遠端電漿源固定至蓋歧管164。在一些實施例中,夾箝174可為KF型式夾箝。出口168可具有接觸表面以壓抵蓋歧管164的上表面。諸如O環172之墊片可設於遠端電漿源之出口168及蓋歧管164之間以防止其之間的漏損。藉由夾箝174直接施加夾箝力至O環172助於生成與維持良好密封以減少漏損的可能性。
回到第1圖,蓋歧管164大體上透過蓋110提供製程材料(例如,從氣體入口162及/或遠端電漿源)至處理腔室。在一些實施例中,蓋110可包括入口通口158以及混合器113。在一些實施例中,混合器113引導至噴淋頭,該噴淋頭用以提供製程材料至處理腔室150的內部。噴淋頭透過複數個開口分配從氣體盤136傳遞的氣體或蒸氣。開口的尺寸、幾何形狀、數量以及位置可經選擇以助於預先限定的氣體/蒸氣流態流至配置於處理腔室150內之基材。
舉例而言,在處理期間,進料氣體在通過入口通口158至蓋110中的混合器113及第一擋板104中的孔洞(圖中未示)之前,可透過氣體傳遞系統(例如氣體盤136以及相關連的設備)進入處理腔室150。進料氣體隨後行進穿過在第一擋板104及第二擋板105之間造出的混合區域102。第二擋板105在結構上由面板延伸部103支撐。在進料氣體穿過第二擋板105中的孔洞(圖中未示)之後,進料氣體流過面板108中的孔洞(圖中未示)並且進入由腔室壁106、面板108以及基材支撐組件111 所界定的主要處理區域。處理腔室150可視情況任選地包括配置在腔室壁106之上表面及蓋110之間的插件101,其受熱以提供熱給面板延伸部103以加熱混合區域102。
在一些實施例中,可從腔室的其餘部份藉由絕熱斷熱元件隔開蓋110。舉例而言,第2圖描繪根據本發明一些實施例且適合用於此揭露之任何實施例所述的CVD腔室之蓋組件的擴大圖。可從腔室的其餘部份藉由絕熱斷熱元件212隔開蓋209。斷熱元件212可配置在加熱器外套203的上表面及下表面上。加熱器外套203亦可連接至擋板205以及面板208。蓋的一些部份或蓋部件可視情況任選地受熱。
蓋組件包括初始氣體入口213以在進料氣體進入由蓋209、斷熱元件212、加熱器外套203以及擋板204、205所界定的空間202之前預混合進料氣體。空間202提供反應物氣體增加的滯留時間以在反應物氣體進入腔室的基材處理部份之前混合。熱可藉由加熱器210施加至界定空間202的表面以幫助防止原材料沿空間的表面堆積。一旦氣體離開面板208並且進入腔室的基材處理部份,加熱的表面亦預熱反應物氣體以助更佳的熱傳與質傳。
第3圖是根據本發明一些實施例之於第1圖所示的氣體進料系統之部份的分解視圖。第3圖繪示蓋110、一個或多個擋板104、105、面板延伸部103以及面板108 可如何經裝設以提供一空間,該空間具有加熱的表面用於在氣體進入腔室的處理區域之前加熱並且混合之。
在一些實施例中,面板延伸部103可經裝設以提供安裝的容易性。第9圖描繪根據本發明一些實施例之面板延伸部103的一個說明性組態。如第9圖所示,面板延伸部103可包括在主體910之相對側面上的第一凸緣902及第二凸緣904。第一凸緣902以及第二凸緣904可以相對的方向從主體910延伸。舉例而言,第9圖中所繪的實施例中,第一凸緣902徑向朝內延伸,而第二凸緣徑向朝外延伸。孔洞906可設於第一凸緣902中以將面板延伸部103栓至蓋110(或其他耦接蓋的部件)。可將孔洞908設置成穿過面板108及第二凸緣904以將面板延伸部103栓至面板108。當凸緣902、904以相對方向延伸,其易於直接擷取將面板延伸部103耦接至蓋110的螺栓,因而助於容易安裝與移除延伸部。
第4圖是根據本發明之一些實施例的第1圖之面板108之說明圖。面板108由面板延伸部103支撐。面板108藉由螺釘連接至面板延伸部103,且裝設孔洞402,該等孔洞經排列以創造腔室處理區域內期望的氣體入口分佈。
在一些實施例中及如第9圖所描繪,面板108可包括陽極處理表面912。陽極處理表面助於在處理期間升高面板108之溫度。在一些實施例中,升高的陽極處理面板108之溫度可引發面板108黏著或融合至面板延伸部 103。據此,在一些實施例中,面板108的表面912可選擇性地陽極處理。詳言之,面板108的表面912可具有陽極處理的內部部份914,以及無陽極處理的外部部份916。外部部份916可對應至面板延伸部103耦接至面板108之處的區域,因而減少或消除融合的問題。
回到第1圖,底座舉升組件131耦接至處理腔室150的基座160,並且進一步耦接至基材支撐組件111。底座舉升組件131包含舉升機構130、舉升板118以及一組舉升銷122。在操作上,底座舉升組件131控制處理位置(如第1圖所示)以及下降位置之間的底座124的高度,從該下降位置,基材可被輸送穿過狹縫閥開口114進入及離開處理腔室150。基材支撐組件111使用可撓伸縮囊132耦接至腔室主體156,以維持處理腔室150的內部及外部之間的氣密式密封。
在一些實施例中,底座舉升組件131可經裝設以旋轉基材支撐組件111。底座舉升組件131的旋轉移動可在處理期間於基材上使不均勻的溫度分佈均滑或使之更均勻一致,且可提供數種其他處理的優點。此類其他處理優點可於美國專利申請案11/147,938號中找得,該案是在2005年6月8日由Jacob Smith提出申請,標題為:”Rotating Substrate Support and Methods of Use”,其全文在此併入作為參考。
舉例而言,第5圖描繪旋轉舉升組件500的一些實施例之概略剖面視圖(在下文無揭露為反例的範圍下,該 組件500類似第1圖中底座舉升組件131)。在一些實施例中,旋轉舉升組件500包括框架502,該框架耦接至x-y調整機構504,該調整機構配置於處理腔室150的基座160下方。框架502透過軸桿506支撐基材支撐組件111,該軸桿延伸穿過處理腔室150的基座160中之開口。
舉升機構508耦接至框架502並且與軸桿506離軸。舉升機構508沿大體上垂直於處理腔室150之基座160的中心軸線移動框架502,因而提供運動範圍以在處理腔室150內升高及降低基材支撐組件111。舉升機構508可包括步進馬達510,該馬達直接耦接至框架(圖中未示)或如圖所示透過基座板512耦接至x-y調整機構504。步進馬達510或其他適合的機構可提供期望的運動範圍給基材支撐組件111。舉升機構508可進一步包括位高調整板(leveling plate)514,該位高調整板透過軸桿516耦接至步進馬達510。位高調整板514可透過一個或多個位高調整螺樁517、球窩關節519以及固定螺釘521耦接至基座160並且針對基座160校準位高。舉例而言,當將旋轉舉升組件550架設至基座160時,位高調整板可最初於一端耦接至球窩關節519。一般而言,如圖所示,球窩關節519的球部份耦接至基座160,而窩部份配置在位高調整板514中。位高調整螺樁517隨後可將位高調整板514的一相對端耦接至基座160。位高調整螺樁517可包括具有兩個螺栓的螺紋桿,其中每一螺栓配置在位高調整板514的相對面上,如第5圖所示。位 高調整板514的位高可藉由沿位高調整螺樁517的螺紋桿改變螺栓位置而相對於球窩519調整。一旦調整螺栓以調整位高調整板514之位高,該位高調整板514之相對端可使用固定螺釘521固定。
軸桿516可為球螺釘或其他類似裝置,且具有與基座板512接合的螺紋部份。在操作上,步進馬達510使軸桿516以順時鐘或逆時鐘方向繞中心軸線轉動。軸桿516的螺紋部份使基座板512提供一抵抗框架502的力,其會造成基材支撐組件111向上或向下移動。
軸桿506可包括上軸桿518、下軸桿520以及導管522。上軸桿518可直接耦接至基材支撐組件111,如第5圖所繪示。上軸桿518可為中空,因而有辦法提供穿過其中且至支撐組件111的操作線路(諸如電力、水及/或氣體線路)。舉例而言,電力線路可包括供給功率至加熱器120及/或熱電偶(圖中未示)的線路。下軸桿520類似上軸桿518,可為中空以使操作線路貫穿其中。下軸桿518可大體上被x-y調整機構504環繞,其中x-y調整機構提供抵靠下軸桿518的力量以使支撐組件111在一平面上移動,該平面平行配置在支撐組件上的基材之表面。導管522可部份配置在下軸桿518的中空部份中並且與之耦接,並且沿下軸桿518的長度延伸。導管522可為中空金屬管或類似結構,以提供電力線路至下軸桿與上軸桿。
如第5圖所描繪,導管522大體上在框架502內沿軸 桿506的中心軸線配置。導管522可耦接至旋轉機構524,其中旋轉機構524可用於繞中心軸線旋轉基材支撐組件111。旋轉機構524包括旋轉馬達526,該旋轉馬達耦接至框架502並且配置成離開軸桿506的中心軸線。旋轉機構524進一步包括滑輪系統,該滑輪系統具有第一滑輪528以及第二滑輪530,其藉由帶532耦接。第一滑輪528耦接至旋轉馬達526,而第二滑輪530耦接至導管522。當旋轉馬達526接合時,第一滑輪528繞平行於軸桿506的中心軸線之軸線旋轉。由第一滑輪528提供的旋轉運動透過帶532轉移至第二滑輪530,因而造成轉動運動轉移至導管522,因而轉移至支撐組件111。轉動機構524可由耦接至框架502的安全遮罩536所覆蓋。
轉動馬達526一般在介於每分鐘約0至約60轉(rpm)的範圍內操作,且具有約1%的穩態轉動速度變化度。在一些實施例中,馬達526可在介於約1至約15rpm的範圍內旋轉。在一些實施例中,馬達526可在介於約2.5至約7.5rpm的範圍內旋轉。馬達526可具有精確的旋轉控制且其量度可在1度以內。此類旋轉控制容許校準用以在處理期間定向基材的特徵結構(例如基材的平坦部份或者形成在基材上的缺口)。此外,此類旋轉控制容許辨識基材上任何點相對於處理腔室150的內部之固定座標的位置。
可視情況任選地提供諸如光學感測器之感測器(圖中 未示)以防止當舉升板118接合舉升銷122時基材支撐組件111旋轉(如關於第1圖所論及者)。舉例而言,光學感測器可配置在旋轉舉升組件550的外側且經裝設以偵測何時組件處於預定高度(例如,升高的處理位置或下降的基材傳送位置)。
導管522可進一步包括電套接件538(electrical union),其配置在導管522之基座。電套接件538可將進入導管540的電力線路540耦接至配置在導管522中的電力線路(圖中未示)以提供功率給支撐組件111。電力線路可具有水套接件542,該水套接件配置於其一部份附近,例如如第5圖所示般配置於框架502之基座。水套接件542可配置於電力線路540附近,以例如維持旋轉舉升組件500的緊密覆蓋區。然而,水套接件502不需配置在電力線路540附近,且可與電力線路隔離配置。水套接件542可進一步包括與之耦接的一個或多個水線路(圖中未示)用於透過軸桿506提供水至支撐組件111。舉例而言,水線路可設為控制配置在支撐組件111上之基材的溫度的裝置。舉例而言,水線路可為熱交換器或另一類似設備的一部份。
為了維持處理腔室150內之製程空間與處理腔室150外之大氣之間的壓力差異,x-y調整機構504環繞下軸桿520並且與之形成密封。此外,伸縮囊544耦接於基座160與x-y調整機構之間。伸縮囊544大體上環繞軸桿506,且更詳言之,其可大體上環繞上軸桿518以及下軸 桿520之一部分。
x-y調整機構504大體上環繞下軸桿520。X-y調整機構504進一步包括配置於其中且環繞下軸桿520的第二伸縮囊546。第二伸縮囊546可以可移動式於x-y平面耦接(即平行於基材表面的平面)一個或多個移動測規548。如第5圖中剖面視圖所描繪,僅顯示一個移動測規548。該移動測規可例如為計量裝置,諸如螺釘測規、測微器或卡尺等。移動測規可耦接至調整螺釘(圖中未示),該螺釘提供一抵靠基座板545(其耦接至第二伸縮囊546之基座)外部的力量,因而將第二伸縮囊546之基座在x-y平面上轉移。因此,第二伸縮囊546的轉移將支撐組件111定位在期望的x-y位置。一旦到達期望的x-y位置,調整螺釘可藉由鎖板或熟習此技藝者所知的另一類似的機構鎖進位置中。
x-y調整機構504可包括至少一個密封件550(例如唇部密封件),該密封件設於x-y調整機構504以及下軸桿520之外表面之間的介面處。如圖所示,密封件550可形成於第二伸縮囊546之基座下方。密封件550一般為抗磨損且可由聚乙烯或其他製程相容材料形成。在一些實施例中,密封件是由聚四氟乙烯(PTFE)形成。在一些實施例(圖中未示)中,多重密封件528配置於x-y調整機構504以及下軸桿520之外表面之間。
x-y調整機構504可進一步包括一個或多個軸承552以減少在x-y調整機構504及下軸桿520之外表面之間 的摩擦或磨損等。如第5圖所描繪,軸承552配置於x-y調整機構504之基座處且位於密封件550下方。然而,軸承552可配置在沿x-y調整機構504的其他位置,該等位置與下軸桿520之外表面接觸。軸承520可包括不鏽鋼或陶瓷球軸承等。
旋轉舉升機構500可進一步包括冷卻風扇554,該冷卻風扇耦接至腔室150的基座160,且配置於鄰接伸縮囊544。
回到第1圖,泵抽系統138大體上包括節流閥以及一個或多個泵,該等泵經排列以控制處理腔室150之內部空間中的壓力。流出處理腔室150的氣體透過泵抽環發送,以促進橫跨基材表面的氣體流量一致性。舉例而言,廢氣可透過排氣泵抽板109、泵抽通口126以及最終透過泵抽系統138離開腔室,該泵抽通口126形成於壁106中且耦接排氣泵抽板109。排氣泵抽板109經設置以控制來自腔室處理區域的排氣流量。排氣泵抽板109可包括一裙部,其向下延伸並且具有在其區段中複數個形成為貫穿其中的孔洞107。具有孔洞107的排氣泵抽板109之裙部區段(顯示為一系列狹縫狀孔洞)助於補償接近狹縫閥開口114處的熱損失。在一些實施例中,排氣泵抽板109可具有排氣板遮蓋112,其安置於排氣泵抽板109的頂部上。第10圖描繪根據本發明一些實施例之排氣泵抽板109的三維概略視圖。第11圖描繪根據本發明一些實施例之用於排氣板109的排氣板遮蓋112之三維 概略視圖。遮蓋112可以最佳化、非一致的孔洞設計,以提供期望的氣體分配(例如,如所期望的均勻或刻意非均勻的氣體分配)以補償熱損失的不平衡。
回到第1圖,系統控制器146大體上包含中央處理單元(CPU)150、記憶體143以及支持電路152且耦接至反應器100之模組及設備並且控制之。在操作上,控制器146直接控制系統100的模組及設備,或者,管理與該等模組及設備有關連的電腦(及/或控制器)。
在一些實施例中(圖中未示),反應器100包含光激發系統,該系統透過在蓋110中的窗(圖中未示)傳遞輻射能至配置在基材支撐組件111上的基材。
因此,用於在基材上沉積膜的改良設備已於此揭露。本發明之設備可有利地助於一種或多種沉積膜在給定的處理腔室內能具有減少的膜厚度不均勻性、改良的粒子表現(例如,在處理腔室中所形成的膜上粒子減少)、在複數個處理腔室中匹配的腔室對腔室表現,以及改良的處理腔室耐用性。
前述者係導向本發明之實施例,其他及進一步的本發明之實施例可不背離本發明之基本範疇而設計。
100‧‧‧反應器
101‧‧‧插件
102‧‧‧混合區域
103‧‧‧面板延伸部
104、105‧‧‧擋板
106‧‧‧壁
107‧‧‧孔洞
108‧‧‧面板
109‧‧‧排氣泵抽板
110‧‧‧蓋
111‧‧‧基材支撐組件
112‧‧‧排氣板遮蓋
113‧‧‧混合器
114‧‧‧狹縫閥
115‧‧‧狹縫閥襯墊
116‧‧‧電源
118‧‧‧舉升板
120‧‧‧加熱器
122‧‧‧舉升銷
126‧‧‧泵抽通口
130‧‧‧舉升機構
131‧‧‧底座舉升組件
132‧‧‧可撓伸縮囊
136‧‧‧氣體盤
138‧‧‧泵抽系統
143‧‧‧記憶體
146‧‧‧控制器
150‧‧‧處理腔室
152‧‧‧上部組件
153‧‧‧O環
154‧‧‧底部組件
156‧‧‧腔室主體
158‧‧‧入口通口
160‧‧‧基座
162‧‧‧氣體進料入口
164‧‧‧蓋歧管
166‧‧‧開口
168‧‧‧出口
170‧‧‧支撐件
172‧‧‧O環
174‧‧‧夾箝
178‧‧‧通道
180‧‧‧歧管
182、184‧‧‧通路
202‧‧‧空間
203‧‧‧加熱器外套
204、205‧‧‧擋板
208‧‧‧面板
209‧‧‧蓋
210‧‧‧加熱器
212‧‧‧斷熱元件
213‧‧‧初始氣體入口
402‧‧‧孔洞
502‧‧‧框架
504‧‧‧x-y調整機構
506‧‧‧軸桿
508‧‧‧舉升機構
510‧‧‧步進馬達
512‧‧‧基座板
514‧‧‧位高調整板
516‧‧‧軸桿
517‧‧‧調整螺樁
518‧‧‧上軸桿
519‧‧‧球窩關節
520‧‧‧下軸桿
521‧‧‧固定螺釘
522‧‧‧導管
524‧‧‧旋轉機構
526‧‧‧旋轉馬達
528、530‧‧‧滑輪
532‧‧‧帶
536‧‧‧安全遮罩
538‧‧‧電套接件
540‧‧‧電力線路
542‧‧‧水套接件
544、546‧‧‧伸縮囊
545‧‧‧基座板
548‧‧‧移動測規
550‧‧‧密封件
552‧‧‧軸承
554‧‧‧冷卻風扇
602‧‧‧氣體饋通件
604‧‧‧上部主體
606‧‧‧下部主體
608‧‧‧通口
610‧‧‧鉸合件
611‧‧‧鉸合組件
612‧‧‧氣體填充活塞
614‧‧‧柄
620‧‧‧下臂
621‧‧‧鉸合桿
622‧‧‧上臂
624‧‧‧減震器
626‧‧‧支撐板
628‧‧‧支撐銷
650‧‧‧處理腔室
802‧‧‧導管
804‧‧‧手臂
808‧‧‧O環
810、812‧‧‧多重氣體入口
814‧‧‧混合器
816‧‧‧感測器
818‧‧‧加熱器
902、904‧‧‧凸緣
906、908‧‧‧孔洞
910‧‧‧主體
912‧‧‧陽極處理表面
914‧‧‧內部部份
916‧‧‧外部部份
藉由參照一些繪示於附加圖式中實施例,可獲得如上文所簡短總結的本發明之更特定的描述,如此可得到詳 細瞭解本發明之前述特徵的方法。然而,應注意,附加圖式僅繪示本發明之典型實施例,且因此不欲視為其範疇之限制,因本發明可允許其他同等有效之實施例。
第1圖描繪根據本發明之一些實施例之示範性化學氣相沉積腔室的簡化剖面視圖。
第2圖描繪根據本發明一些實施例之用於單一晶圓熱CVD處理腔室之製程套件的透視概略視圖。
第3圖描繪根據本發明一些實施例之於第1圖所示的氣體進料系統之部份的分解視圖。
第4圖描繪根據本發明之一些實施例的面板之頂視圖。
第5圖描繪第1圖中所繪的旋轉基材支撐件之概略剖面視圖。
第6圖描繪根據本發明一些實施例之具有開啟之蓋的化學氣相沉積腔室的概略透視圖。
第6A圖描繪根據本發明一些實施例之蓋支撐件及鉸合件組件之概略透視圖。
第7圖描繪根據本發明一些實施例之第6圖的化學氣相沉積腔室之概略透視圖,該腔室具有一關閉之蓋。
第8圖描繪根據本發明一些實施例之氣體傳遞系統之部份的頂剖面視圖。
第8A圖描繪根據本發明一些實施例之氣體傳遞系統之部份之剖面視圖。
第9圖描繪根據本發明一些實施例之面板延伸部的一 個組態。
第10圖描繪根據本發明一些實施例之排氣泵抽板的透視圖。
第11圖描繪根據本發明一些實施例之用於排氣泵抽板的遮蓋之透視圖。
第12圖描繪根據本發明一些實施例之狹縫閥襯墊的透視圖。
如可能,此處使用相同的元件符號指定各圖中相通的元件。在圖式中所使用的圖像可為說明起見而簡化且不需按比例尺繪製。
100‧‧‧反應器
101‧‧‧插件
102‧‧‧混合區域
103‧‧‧面板延伸部
104、105‧‧‧擋板
106‧‧‧壁
107‧‧‧孔洞
108‧‧‧面板
109‧‧‧排氣泵抽板
110‧‧‧蓋
111‧‧‧基材支撐組件
112‧‧‧排氣板遮蓋
113‧‧‧混合器
114‧‧‧狹縫閥
115‧‧‧狹縫閥襯墊
116‧‧‧電源
118‧‧‧舉升板
120‧‧‧加熱器
122‧‧‧舉升銷
126‧‧‧泵抽通口
130‧‧‧舉升機構
131‧‧‧底座舉升組件
132‧‧‧可撓伸縮囊
136‧‧‧氣體盤
138‧‧‧泵抽系統
143‧‧‧記憶體
146‧‧‧控制器
150‧‧‧處理腔室
152‧‧‧上部組件
153‧‧‧O環
154‧‧‧底部組件
156‧‧‧腔室主體
158‧‧‧入口通口
160‧‧‧基座
162‧‧‧氣體進料入口
164‧‧‧蓋歧管
166‧‧‧開口
168‧‧‧出口
170‧‧‧支撐件
172‧‧‧O環
174‧‧‧夾箝
178‧‧‧通道
180‧‧‧歧管
182、184‧‧‧通路

Claims (20)

  1. 一種用於處理基材的設備,其包括:一處理腔室,其具有一下部組件以及一上部組件,該上部組件透過一鉸合件以可移動式耦接該下部組件,其中該下部組件包括一腔室主體,該腔室主體具有配置在該腔室主體中的一基材支撐組件,且其中該上部組件包括一蓋;以及一氣體饋通件,其耦接至該腔室主體以及該蓋,以助於一氣體從一氣體盤流至該處理腔室的內部,其中該氣體饋通件包含一上部主體以及一下部主體,該上部主體從外部耦接(externally couple)該蓋的一周邊而該下部主體從外部耦接該腔室主體的一周邊,使得該氣體饋通件不會通過該腔室主體或該蓋,其中該上部主體包括一個或多個上部通口,而該下部主體包括對應的一個或多個下部通口,且其中當該蓋在關閉位置時,該一個或多個上部通口匹配該對應的一個或多個下部通口。
  2. 如請求項1所述之設備,其中在每一對應的一對該上部主體及該下部主體中之該上部主體及該下部主體的至少一者為可調整,以助於當該蓋在該關閉位置時微調介於該上部主體及該下部主體之間的耦接。
  3. 如請求項1所述之設備,其進一步包含:一手臂,其沿該蓋的一上表面從該氣體饋通件延伸至配置在該蓋中之一入口通口上方的一蓋歧管;以及一單一導管,其配置在該手臂中,以助於該氣體從該氣體饋通件的該一個或多個上部通口流至該蓋歧管之一開口,該開口置中配置成穿過該蓋歧管並且配置於該蓋之該入口通口上方。
  4. 如請求項3所述之設備,其中該單一導管以非垂直式終結於該蓋歧管處,以致進入該蓋歧管的氣體傾向形成一漩渦。
  5. 如請求項3所述之設備,其進一步包含:一混合器,其配置在該氣體饋通件之該上部主體之該一個或多個上部通口與該單一導管之間,以於多種氣體進入該單一導管之前混合該等氣體。
  6. 如請求項3所述之設備,其進一步包含:一遠端電漿源,其具有一出口,該出口配置於該蓋歧管中的該開口上方並且接觸該蓋歧管之一上表面;以及一夾箝,其配置於該遠端電漿源之該出口與該 蓋歧管附近,以提供一夾箝力給配置在該遠端電漿源之該出口與該蓋歧管之該上表面之間的一墊片。
  7. 如請求項6所述之設備,其進一步包含:一個或多個支撐托架,其配置於該遠端電漿源之該出口附近以將該遠端電漿源固定至該蓋。
  8. 如請求項3所述之設備,其進一步包含:一加熱器,其配置於該手臂中或該手臂上,以提供熱給流過該單一導管的氣體。
  9. 如請求項8所述之設備,其進一步包含:一感測器,其提供對應流過該導管之氣體的溫度之資料。
  10. 如請求項8所述之設備,其中該手臂與該蓋的該上表面隔開。
  11. 如請求項1所述之設備,其中該蓋進一步包含:一面板延伸部,其圍繞該蓋的一入口通口並且從該蓋的一下表面朝該基材支撐組件延伸;以及一面板,其相對於該蓋的該下表面耦接該面板延伸部以界定介於該蓋的該下表面、該面板延伸部以及該面板之間的一混合區域。
  12. 如請求項11所述之設備,其中相對該蓋之該下表面的該面板之一表面包含:一非陽極處理外部部份,其位於介在該面板及該面板延伸部之間的介面處;以及一陽極處理的該面板之內部部份。
  13. 如請求項1所述之設備,其中該上部組件進一步包含:一蓋支撐組件,其將該蓋耦接至該腔室主體,該蓋支撐組件包含一對耦接至該腔室主體的下臂以及一對耦接至該蓋的上臂,其中該對上臂及該對下臂耦接在一起以形成一鉸合件。
  14. 如請求項13所述之設備,其中該蓋支撐組件支撐該蓋,以致該蓋相對於該鉸合件浮置,以助於該蓋更佳地對準該腔室主體。
  15. 如請求項14所述之設備,其中該蓋支撐組件進一步包含:一對蓋支撐板,一個蓋支撐板各配置在該蓋支撐組件的該等上臂之每一者上,以將該蓋固定至該蓋支撐組件;以及複數個蓋支撐銷,其耦接至該等蓋支撐板之每一者並且從該等蓋支撐板之每一者垂直延伸,其中該複數個蓋支撐銷延伸穿過該蓋並且助於該蓋沿該 複數個蓋支撐銷之線性運動。
  16. 如請求項13所述之設備,其中該鉸合件配置於含有一狹縫閥開口的該腔室主體之一側面上以將一基材傳進或傳出該腔室主體。
  17. 如請求項1所述之設備,其中該基材支撐組件進一步包含:一基材支撐件;以及一旋轉舉升組件,其配置在該腔室主體的一基座下方並且耦接至該基材支撐件以升高、降低及旋轉該基材支撐件。
  18. 如請求項17所述之設備,其中該旋轉舉升組件懸置在該腔室主體之該基座下方,且其中該旋轉舉升組件包含:透過一軸桿支撐該基材支撐件的一框架,該框架透過延伸穿過一孔洞的一軸桿耦接該腔室主體內的該基材支撐件,該孔洞配置成穿過該腔室主體之該基座,其中該軸桿的旋轉提供旋轉予該基材支撐件;以及一舉升機構,其耦接至該框架並與該軸桿離軸,以移動該框架與該基材支撐組件以升高及降低該基材支撐組件。
  19. 如請求項18所述之設備,其中該旋轉舉升組件進一步包含:一位高調整板,其將該框架耦接至該腔室主體的該基座;一球窩關節,其配置於接近該位高調整板之一端處,以從該腔室主體之該基座懸置該位高調整板;以及一位高調整螺樁,其配置於該位高調整板的一相對側面上以相對於該腔室主體之該基座調整該位高調整板及該旋轉舉升組件的位高。
  20. 如請求項17所述之設備,其中該旋轉舉升組件進一步包含:一x-y調整機構,其配置於該處理腔室之該基座下方,以在一平面移動該基材支撐組件,該平面平行於配置於該基材支撐組件上的一基材之一表面。
TW099112587A 2009-04-21 2010-04-21 改良膜厚度之不均勻性與粒子表現的cvd設備 TWI499688B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17113209P 2009-04-21 2009-04-21
US12/763,522 US9312154B2 (en) 2009-04-21 2010-04-20 CVD apparatus for improved film thickness non-uniformity and particle performance

Publications (2)

Publication Number Publication Date
TW201105815A TW201105815A (en) 2011-02-16
TWI499688B true TWI499688B (zh) 2015-09-11

Family

ID=43011715

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099112587A TWI499688B (zh) 2009-04-21 2010-04-21 改良膜厚度之不均勻性與粒子表現的cvd設備

Country Status (7)

Country Link
US (1) US9312154B2 (zh)
JP (1) JP5822823B2 (zh)
KR (1) KR101671158B1 (zh)
CN (1) CN102414794B (zh)
SG (2) SG174993A1 (zh)
TW (1) TWI499688B (zh)
WO (1) WO2010123877A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment

Families Citing this family (520)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5822823B2 (ja) * 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8889435B2 (en) * 2011-09-29 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma density control
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9315900B2 (en) * 2012-01-27 2016-04-19 Applied Materials, Inc. Isolation of microwave sources through bellows
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102261013B1 (ko) 2013-03-14 2021-06-03 어플라이드 머티어리얼스, 인코포레이티드 다중-구역 히터에서의 온도 측정
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI624903B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 在雜訊環境中之現場溫度測量
CN107557758A (zh) * 2013-05-01 2018-01-09 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101635759B1 (ko) * 2013-11-25 2016-07-04 주식회사 선익시스템 물질이동 가스의 분리장치 및 이를 포함하는 화학기상 증착장치
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
CN106133873B (zh) * 2014-03-12 2019-07-05 应用材料公司 在半导体腔室中的晶片旋转
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
SG11201610311SA (en) 2014-07-11 2017-01-27 Applied Materials Inc Apparatus and methods for alignment of a susceptor
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10395918B2 (en) * 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101725894B1 (ko) * 2016-01-26 2017-04-11 주식회사 더셀머트리얼즈 로드락 챔버
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105803423A (zh) * 2016-04-21 2016-07-27 上海华力微电子有限公司 氮化钛沉积系统
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10438860B2 (en) 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
TWI610080B (zh) * 2016-05-12 2018-01-01 中華精測科技股份有限公司 探針卡總成
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10710102B2 (en) 2017-09-08 2020-07-14 Point Engineering Co., Ltd. Fluid permeable member
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102519544B1 (ko) 2017-12-07 2023-04-07 삼성전자주식회사 웨이퍼 로딩 장치 및 막 형성 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20230170130A (ko) 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
WO2021011229A1 (en) 2019-07-12 2021-01-21 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
JP2022540608A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11515176B2 (en) * 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11626303B2 (en) * 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113130354A (zh) * 2021-04-09 2021-07-16 长鑫存储技术有限公司 半导体生产装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230069317A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Thermal choke plate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
US20240093367A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Atomic layer deposition part coating chamber

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5863397A (en) * 1997-07-11 1999-01-26 Taiwan Semiconductor Manufacturing Co Ltd. Target mounting apparatus for vapor deposition system
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050139160A1 (en) * 2002-01-26 2005-06-30 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070181057A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090047446A1 (en) * 2004-05-26 2009-02-19 Ganesh Balasubramanian Uniformity control for low flow process and chamber to chamber matching

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525160A (en) 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
JP3099212B2 (ja) * 1993-05-10 2000-10-16 東京エレクトロン株式会社 成膜処理装置
JPH0930893A (ja) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd 気相成長装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US6776848B2 (en) * 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7001447B1 (en) * 2003-04-22 2006-02-21 Electric Power Research Institute Polarity reversing circuit for electrostatic precipitator system
KR100512740B1 (ko) 2003-06-19 2005-09-07 삼성전자주식회사 반응장치
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
JP2005277300A (ja) * 2004-03-26 2005-10-06 Nissin Electric Co Ltd 真空処理装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4841854B2 (ja) * 2005-03-30 2011-12-21 大日本スクリーン製造株式会社 熱処理装置
US20070082507A1 (en) 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
JP4889326B2 (ja) * 2006-03-13 2012-03-07 東京エレクトロン株式会社 処理装置および蓋体の開閉機構
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP5822823B2 (ja) * 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5863397A (en) * 1997-07-11 1999-01-26 Taiwan Semiconductor Manufacturing Co Ltd. Target mounting apparatus for vapor deposition system
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US20050139160A1 (en) * 2002-01-26 2005-06-30 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20090047446A1 (en) * 2004-05-26 2009-02-19 Ganesh Balasubramanian Uniformity control for low flow process and chamber to chamber matching
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070181057A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment

Also Published As

Publication number Publication date
JP2012525005A (ja) 2012-10-18
KR20120027257A (ko) 2012-03-21
WO2010123877A2 (en) 2010-10-28
CN102414794A (zh) 2012-04-11
US9312154B2 (en) 2016-04-12
JP5822823B2 (ja) 2015-11-24
WO2010123877A3 (en) 2011-01-20
SG174993A1 (en) 2011-11-28
CN102414794B (zh) 2015-01-28
TW201105815A (en) 2011-02-16
SG10201401671SA (en) 2014-07-30
KR101671158B1 (ko) 2016-11-01
US20100294199A1 (en) 2010-11-25

Similar Documents

Publication Publication Date Title
TWI499688B (zh) 改良膜厚度之不均勻性與粒子表現的cvd設備
US10438860B2 (en) Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US20060281310A1 (en) Rotating substrate support and methods of use
KR102228321B1 (ko) 가스 도입 기구 및 처리 장치
US20030019428A1 (en) Chemical vapor deposition chamber
US8057602B2 (en) Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20130270252A1 (en) Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
US20080178797A1 (en) Processing chamber with heated chamber liner
KR20130115083A (ko) 개선된 가스 흐름을 위한 샤워헤드 지지 구조물
TWI568881B (zh) 具有相異發射率之區域的面板
TW201944531A (zh) 具有最小流體拉力的冷卻通道的陶瓷晶圓加熱器
TWI805603B (zh) 內壁及基板處理裝置
KR20100033253A (ko) 기판 처리 장치 및 방법
US7180035B2 (en) Substrate processing device
TW201237994A (en) System and apparatus for flowable deposition in semiconductor fabrication
CN111172516B (zh) 进气装置和化学气相沉积设备
KR20230117432A (ko) 기상 성장 장치 및 기상 성장 방법