CN1715442A - 用于大区域等离子体加强化学气相沉积的气体扩散喷头 - Google Patents

用于大区域等离子体加强化学气相沉积的气体扩散喷头 Download PDF

Info

Publication number
CN1715442A
CN1715442A CNA2005100672743A CN200510067274A CN1715442A CN 1715442 A CN1715442 A CN 1715442A CN A2005100672743 A CNA2005100672743 A CN A2005100672743A CN 200510067274 A CN200510067274 A CN 200510067274A CN 1715442 A CN1715442 A CN 1715442A
Authority
CN
China
Prior art keywords
right cylindrical
distribution plate
gas distribution
cylindrical part
diffuser plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100672743A
Other languages
English (en)
Other versions
CN1715442B (zh
Inventor
崔寿永
约翰·M·怀特
罗伯特·I·格林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1715442A publication Critical patent/CN1715442A/zh
Application granted granted Critical
Publication of CN1715442B publication Critical patent/CN1715442B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明提供一种气体分配板的多个实施例,该气体分配板是用以在一处理室散布气体。在一实施例中,气体分配板包括:一扩散板,其具有一上游侧和一下游侧;和复数个气体通道,其穿过扩散板的该上游侧和下游侧之间。该复数个气体通道的至少一者具有:一正圆柱形,其是延伸自上游侧的长度的一部分;及一同轴圆锥形,其是该扩散板的剩余长度。该圆锥部分的上游端具有与该正圆柱形相同的直径,而该圆锥部分之下游端具有一较大的直径。该气体分配板相对地容易制造和提供好的室洁净率、好的薄膜沉积均匀性和好的薄膜沉积率。气体分配板亦具有较少的室洁净残留物留在扩散表面上,及较少的洁净残留物被并入所沉积的薄膜。

Description

用于大区域等离子体加强化学气相沉积的气体扩散喷头
技术领域
本发明与在处理室散布气体的一种气体分配板组件和方法有关。
背景技术
液晶显示器或平板面板一般当作主动阵列显示器(例如,电脑和电视监视器)使用。等离子体加强化学气相沉积(PECVD)通常用于沉积薄膜于一基材,例如,一透明玻璃基材(平板面板用)或半导体晶圆。PECVD的完成通常借由把一前驱物气体或气体混合物引入一容纳一平板面板的真空室。该前驱物气体或气体混合物通常被引导穿过位于靠近该室顶部的一分配板。借由应用来自与一室耦接的一或多无线电频率源的无线电频率(RF),位于该室的前驱物气体或气体混合物将被能量化(例如,激化)成一等离子体。借由该激化的气体或气体混合物反应以在置于温控基材支撑件上的平板面板的一表面上形成一材料层。通过一排气系统从该室抽出在反应期间产生的挥发性副产品。
由PECVD技术处理的平板面板通常较大,经常超过370毫米×470毫米,以及在尺寸上大于1平方米。在不久将来,接近和超过4平方米的大面积基材是可想象的。用以在平板面板上提供均匀气流的气体分配板在尺寸上相对地大,特别是相较于用于200毫米和300毫米半导体晶片处理的气体分配板来说。
用于平板面板处理的大气体分配板具有一些装配问题,致使制造成本偏高。例如,相对于气体分配板的厚度来说,穿过气体分配板形成的气流孔洞的直径较小,例如,穿过1.2英吋厚的0.016英吋直径孔洞,会导致在孔洞形成期间钻头毁损频率偏高。移除毁损的钻头相当耗时,且可能导致整个气体分配板破碎。此外,因为穿过气体分配板形成的气流孔洞的数目与平板面板的尺寸成正比,在每一平板面板形成的大量孔洞也不利地导致平板面板制造期间极易出现困扰。此外,大量的孔洞加上需要费心减少钻头毁损情况,也使制造时间变长,从而提升了制造成本。
因为制造气体分配板的材料成本很大,因此需要研发一种气体分配板其是能有效且符合经济效益的方式生产。此外,随着下一代气体分配板的尺寸增加以容纳处理超过1.2平方米的平板面板的同时,上述问题的解决变得越来越重要。虽然讨论大型气体分配板设计成本是相当重要的,但亦不能忽略其功效上的贡献度。例如,气流孔洞的设定、位置和密度直接冲击沉积功效(例如,沉积率和均匀性);以及洁净度上的贡献(如在处理室的洁净效率和残余洁净化学物)。
因此,需要能减少制造成本,并且有好的沉积和洁净功效的一改良的气体分配板组件。
发明内容
本发明提供用以散布气体的一气体分配板的多个实施例。在一实施例中,用以在一处理室散布气体的一气体分配板包括:一扩散板,其具有一上游侧和一下游侧;和复数个气体通道,其穿过该扩散板的上游侧和下游侧之间,该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一正圆柱形;及扩散板的剩余长度部分为一同轴圆锥形,该圆锥部分的上游端直径与该正圆柱形相同,而该圆锥部分下游端则具有一较大的直径。
在另一实施例中,用于一等离子体处理室的一气体分配板包括:一位在该等离子体处理室中的扩散板,其具有一上游侧和一下游侧,该等离子体处理室是耦接至一远程等离子体源,且该远程等离子体源耦接至一氟源;以及复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一正圆柱形;及扩散板的剩余长度部分为一同轴圆锥形,该圆锥部分的上游端直径与该正圆柱形相同,而该圆锥部分下游端则具有一较大的直径。
在另一实施例中,用于一等离子体处理室的一气体分配板包括:一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一第一正圆柱形;及具有一较小直径且连接至该第一圆柱形的一第二同轴正圆柱形;连接至该第二圆柱形的该扩散板剩余长度为一同轴圆锥形,该圆锥部分上游端的直径与该第二正圆柱形直径实质相同,而该圆锥部分下游端则具有一较大的直径。
另一实施例中,用于一等离子体处理室的一气体分配板包括:一位于该等离子体处理室的扩散板,其具有一上游侧和一下游侧,该等离子体处理室是耦接至一远程等离子体源,且该远程等离子体源耦接至一氟源;以及复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一第一正圆柱形;及具有一较小直径且连接至该第一正圆柱形的一第二同轴正圆柱形;连接至该第二正圆柱形的该扩散板剩余长度为一同轴圆锥形,该圆锥部分上游端的直径与该第二正圆柱形直径实质相同,而该圆锥部分下游端则具有一较大的直径。
在另一实施例中,一种沉积一薄膜于一基材的方法包括放置一基材于一处理室,该处理室具有:一扩散板,其具有一上游侧和一下游侧;和复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一正圆柱形;及扩散板的剩余长度部分为一同轴圆锥形,该圆锥部分的上游端直径与该正圆柱形相同,而该圆锥部分下游端则具有一较大的直径;以及沉积一薄膜于该处理室的基材。
在另一实施例中,一种沉积一薄膜于一基材的方法包括放置一基材于一处理室,该处理室具有:一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一第一正圆柱形;及具有一较小直径且连接至该第一正圆柱形的一第二同轴正圆柱形;连接至该第二正圆柱形的该扩散板剩余长度为一同轴圆锥形,该圆锥部分上游端的直径与该第二正圆柱形直径实质相同,而该圆锥部分下游端则具有一较大的直径;以及沉积一薄膜于该处理室的基材上。
在另一实施例中,一种洁净一处理室的方法包括放置一基材于一处理室,其耦接至一远程等离子体源,且该远程等离子体源耦接至一氟源,且具有一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一正圆柱形;及扩散板的剩余长度部分为一同轴圆锥形,该圆锥部分的上游端直径与该正圆柱形相同,而该圆锥部分下游端则具有一较大的直径,沉积一薄膜于该处理室的基材上,决定是否处理基材的数目已达一预先设定的洁净限值,重复放置一基材于该处理室的步骤,如果处理基材的数目未达一预先设定的洁净限值,则沉积一薄膜于该基材并决定是否处理基材的数目已达该预先设定的洁净限值直到处理基材的数目已达该预先设定的洁净限值为止,如果处理基材的数目已达该预先设定的洁净限值,则清洁该处理室。
在又一实施例中,一种洁净一处理室的方法包括放置一基材于一处理室,其耦接至一远程等离子体源,且该远程等离子体源耦接至一氟源,且具有一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过扩散板的该上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:延伸自上游侧的长度的一部份为一第一正圆柱形;及具有一较小直径且连接至该第一正圆柱形的一第二同轴正圆柱形;连接至该第二圆柱形的该扩散板剩余长度为一同轴圆锥形,该圆锥部分上游端的直径与该第二正圆柱形直径实质相同,而该圆锥部分下游端则具有一较大的直径,沉积一薄膜于该处理室的基材,决定是否处理基材的数目已达一预先设定的洁净限值,重复放置一基材于该处理室的步骤,如果处理基材的数目未达一预先设定的洁净限值,沉积一薄膜于该基材并决定是否处理基材的数目已达该预先设定的洁净限值直到处理基材的数目已达该预先设定的洁净限值,如果处理基材的数目已达该预先设定的洁净限值,则清洁该处理室。
附图说明
借由参考本文与附图更易于理解本发明的教示,其中:
图1是一底柵极薄膜晶体管的一示意性截面视图。
图2A是具有本发明一气体分配板组件一实施例的说明性处理室的一示意性截面视图。
图2B是本发明一气体扩散板一实施例的仰视图。
图3是一气体扩散板的一截面示意性视图。
图4A是本发明一气体扩散板一实施例的一截面示意性视图。
图4B是本发明一气体扩散板一实施例的一区段仰视图。
图4C是本发明图4A的气体扩散板设计的一变型的一截面示意性视图。
图5显示暴露至处理空间中的扩散表面。
图6是用一气体扩散板在处理室的一基材上沉积薄膜和洁净该处理室的处理流程。
图7是图3和图4A设计的氮化硅膜的氟含量的二次离子质谱仪(SIMS)分析曲线图。
图8A是具有较厚扩散板的图4A气体扩散板设计的一变型的一截面示意性视图。
图8B是图8A气体扩散板设计另一变型的一截面示意性视图。
为利于理解,已尽可能使用相同的标号来标示在各图中通用的相同组件。
具体实施方式
本发明提供一种气体分配板组件,用以在处理室内提供气体输送。下文参照设定以处理大面积基材的一等离子体加强的化学气相沉积系统来描述本发明,例如,等离子体加强了化学气相沉积(PECVD)系统,其可购自AKT(应用材料公司的一部门,美国加州Santa Clara)。然而,应该理解本发明亦可用于任何其它系统设定,例如蚀刻系统,其它化学气相沉积系统和需要在其在处理室内散布气体的其它系统,包括那些系统设定为处理圆形基材者。
图1说明一薄膜晶体管结构的截面示意性视图。一普通TFT结构是图1所示的背通道蚀刻(BCE)反向堆栈式(或底柵极式)TFT结构。较佳是采用BCE处理,因为柵极介电质(SiN)和本质半导体以及n+掺质非晶硅薄膜可以在相同的PECVD抽真空执行时沉积。如图所示的BCE处理仅需使用4个图形光罩。基材101可包括一材料,其实质上在可见光谱上是光学透明的,例如,玻璃或干净塑料。基材的形状或尺寸可改变。典型地,在TFT应用,基材是表面积大于约500平方毫米的一玻璃基材。在基材101上形成了柵电极层102。该柵电极层102包括一电导层,其可控制TFT内电荷载体的移动。该柵电极层102可包括一金属,例如,铝(Al)、钨(W)、铬(Cr)、钽(Ta)、或其组合。可使用传统沉积、微影和蚀刻的技术形成该柵电极层102。在基材101和柵电极层102之间,也许有一选择性绝缘材料,例如,二氧化硅(SiO2)或氮化硅(SiN),其亦可能利用本发明所述的一PECVD系统的一实施例形成。而后用传统技术来设定柵电极,柵电极层102被微影图案化和蚀刻。
在柵电极层102上形成一柵极介电层103。该柵极介电层103也许是利用本发明所述的PECVD系统的一实施例沉积的二氧化硅(SiO2)、氮氧化硅(SiON)、或氮化硅(SiN)。可能以大约100到大约6000范围的厚度形成该柵极介电层103。
在该柵极介电层103上形成一半导体块层104。该半导体块层104可包括多晶硅(polysilicon)或非晶硅(α-Si),其可利用本发明所述的PECVD系统的一实施例或其它已知的现有方法沉积。可能以大约100到大约3000范围的厚度沉积该半导体块层104。在该半导体块层104顶部形成有掺质的半导体层105。该有掺质的半导体层105可包括N型(n+)或P型(p+)掺质的多晶硅(polysilicon)或非晶硅(α-Si),其可利用本发明所述的PECVD系统的一实施例或其它现有现有方法沉积。可能以大约100到大约3000范围的厚度形成有掺质的半导体层105。该有掺质的半导体层105的一示例系n+掺质的α-Si膜。半导体块层104和有掺质的半导体层105系利用传统技术微影图案化及蚀刻,以在柵极介电绝缘体上界定出该两层膜的一平顶,该平顶系可作为储存电容介电质。有掺质的半导体层105与块层104的多个部分直接接触,以形成一半导体接合区。
而后在暴露的表面上沉积一传导层106。该传导层106可包括一金属,例如,铝(Al)、钨(W)、钼(Mo)、铬(Cr)、钽(Ta)、和及其的组合。可使用传统沉积技术形成传导层106。传导层106和有掺质的半导体层105可被微影图案化以界定出TFT的源极和汲极接触。然后,可沉积钝化层107。钝化层107系同形涂布暴露表面。该钝化层107通常是一绝缘层且可包括,例如,二氧化硅(SiO2)或氮化硅(SiN)。可使用,例如,PECVD或其它已知的传统方法来形成该钝化层107。可以大约1000到大约5000范围的厚度沉积该钝化层107。而后使用现有技术微影图案化和蚀刻该钝化层107,以打开该钝化层的接触孔洞。
而后透明导体层108被沉积和形成图形以与该传导层106接触。该透明导体层108包括一材料,其在可见光谱中光学透明并且是导电的。透明导体层108可包括,例如,氧化铟锡(ITO)或氧化锌。该透明传导层108的图形系借由传统微影和蚀刻的技术形成。
用于液晶显示器(或平板面板)的有掺质或无掺质(内生的)的非晶硅(α-Si)、二氧化硅(SiO2)、氮氧化硅(SiON)和氮化硅(SiN)膜,都能使用本发明描述的一等离子体加强化学气相沉积(PECVD)系统的一实施例来沉积。
图2A是一等离子体加强化学气相沉积系统200的一实施例的示意性截面视图,其可获自AKT(应用材料公司的一部门,美国加州Santa Clara)。系统200通常包括与气体源204耦接的处理室202。处理室202有墙206和底部208,其部分界定出一处理空间212。处理空间212通常可借由穿过壁206的一端口(未示出)来与该处理空间212连通,该端口可帮助一基材240进入和离开该处理室202。通常利用一单一铝块或其它与制程兼容的材料来制造壁206和底208。壁206可支撑一盖组件210,其含有一抽压腔214,其耦接处理空间212到一排气端口(包括各种抽压部分,未见于图标)。
在处理室202之内中央布署一温控的基材支撑组件238。在处理期间,该支撑组件238可支撑一基材240。在一实施例中,该基材支撑组件238包括一铝主体224,其包覆至少一嵌入式加热器232。被置于支撑组件238的加热器232(例如,一电阻组件),与一选择性电源274耦接,并且可控制地加热该支撑组件238和置于其中的基材240至一预定温度。典型地,在一CVD处理中,加热器232在大约150到至少大约460度摄氏温度之间以一均匀温度保持基材240,依据被放置的材料的沉积处理参数而定。
通常,支撑组件238具有一下侧226和一上侧234。上侧234支撑基材240。下侧226具有一耦接至其上的柱242。柱242耦接支撑组件238至一升举系统(未见于图标),其在一升高的处理位置(如图所示)和有利于基材转移出入该处理室202的一降低的位置之间移动支撑组件238。柱242在系统200的支撑组件238和其它的组件之间提供一导管,用于电性和热电偶包覆。
在该处理室202的支撑组件238(或柱242)和底208之间耦接一波纹管。在帮助支撑组件238垂直运动时,该波纹管246可在该处理室体积212和处理室202外的大气之间提供一真空密封。
该支撑组件238通常接地,以使由一电源222供给至位于盖组件210和基材支撑组件238(或置于或靠近室的盖组件的其它电极)间的一气体分配板组件218的RF电力可能激化在支撑组件238和分配板组件218间的处理空间212中的气体。来自电源222的RF电力通常依据基材尺寸来选择,以驱动化学气相沉积处理。
支撑组件238额外支撑一圆周式阴影框架248。通常,该阴影框架248可防止沉积出现在基材240和支撑组件238的边缘,以使基材不致于黏附至该支撑组件238。支撑组件238具有位于其中的复数个孔洞228,其承接复数个升举销钉250。该等升举销钉通常由陶磁或电镀铝构成。可能用一选择性升举板254使升举销钉250相对于支撑组件238移动,以自支撑表面230突出,从而将基材放置在相对于该支撑组件238一间距之处。
盖组件210为该处理空间212提供一上方边界。盖组件210通常能够被移走或开启,以供使用处理室202。在一实施例中,用铝(Al)制造盖组件210。盖组件210包括一形成于其中的抽压腔214,其连接至一外部抽压系统(未见于图标)。抽压腔214是用以从处理空间212均匀地传送气体及处理副产品和将其导出处理室202。
盖组件210通常包括一进入端口280,来自气体源204的处理气体穿过该进入端口280而被导入至处理室202。该进入端口280也与洁净气体源282耦接。洁净气体源282通常提供一清洁剂,例如,解离状态的氟,其被导入处理室202以自处理室硬件(包括气体分配板组件218)移除沉积的副产品及膜层。
气体分配板组件218被耦接至一盖组件210的内部侧220。气体分配板组件218通常设定成可实质上依照基材240的轮廓进行处理,例如,用于大面积平板面板基材的多边形和用于晶片的圆形。气体分配板组件218包括一多孔区域216,供应自气体源204的处理气体和其它气体穿过其中并被传送到处理空间212。气体分配板组件218的多孔区域216被设定以提供均匀散布的气体穿过分配板组件218到处理室202。可调整以受益于本发明的气体分配板已揭示于相同受让人的美国专利申请号Nos.09/922,219,由Keller等人在2001年8月8日申请,并被授予美国专利号6,772,827;申请号10/140,324,2002年5月6日申请;和申请号10/337,483,由Blonigan等人在2003年1月7日申请;专利号6,477,980,于2002年11月12日授予White等人;和美国专利申请号Nos.10/417,592,由Choi等人于2003年4月16日申请。上述文献并入本文以供参照。
气体分配板组件218通常包括从一悬挂板260悬挂的一扩散板258。扩散板258和悬挂板260可能替代地包括一单一相同组件。穿过扩散板258形成复数个气体通道262,以允许一预定的气体散布穿过气体分配板组件218到处理空间212里。悬挂板260使该扩散板258和盖组件210的内部表面220维持一间距,从而在其中界定一腔体264。腔体264允许气体流过盖组件210,以均匀地散布并横阅整个扩散板258的宽度,因此气体被均匀地供应至多孔区域216的中心之上,并且被均匀地散布并穿过气体通道262。
通常以不锈钢、铝(Al)、电镀铝、镍(Ni)或其它的RF传导材料制造扩散板258。扩散板258的厚度系被设计成可保持整个孔隙266具有足够的平坦度,而不致于影响基材处理。在一实施例中,扩散板258具有大约1.0英吋到大约2.0英吋之间的厚度。扩散板258可以是圆形的,以供制造半导体晶片,或是多边形的,例如,矩形的,以供制造平板面板显示器。图2B为应用在平板面板显示器的一扩散板258的一示例,其为宽度290大约30英吋和长度292大约36英吋的矩形。图2B中扩散孔的尺寸、扩散孔的间距、及扩散板并未依实际尺寸绘制。
图3是扩散板258的一部分截面视图,其揭示于在共同受让人的美国专利申请案号No.10/227,483,标题″Tunable Gas Distribution Plate Assembly”,2003年1月7日申请。例如,对于1080个平方英吋(例如,30英吋×36英吋)扩散板,扩散板258包括大约16,000个气体通道262。对于用于处理较大平板面板的较大扩散板,气体通道262的数目可能高达100,000。气体通道262通常被设计为可增进扩散板258下基材240上材料的均匀沉积。参照图3,在一实施例中,气体通道262由一限制区段302、一喇叭状连接器303、一中心通道304和一喇叭状开口306构成。限制区段302自扩散板258的第一侧318穿过,且与中心通道304耦接。中心通道304具有比限制区段302直径来得更大的直径,以允许足够的气体流经扩散板258,同时提供足够流动阻力以确保均匀的气体能辐射状地散布穿越多孔中心部分310。例如,限制区段302的直径可能是约0.016英吋。喇叭状连接器303连接限制区段302到中心通道304。该喇叭状开口306与中心通道304耦接,以及具有从中心通道304到扩散板258的第二侧320一以辐射状向外逐渐减小的直径。喇叭状开口306增进流入处理区域212的制程气体的等离子体游离。此外,喇叭状开口306为空心阴极效应提供较大的表面面积以加强等离子体放电。
如前所述,用于平板面板处理的大型气体分配板有一些制造问题,其导致较高的制造成本。图3中四段孔隙扩散板设计的制造成本相对地高,因为它要求四个钻孔步骤以钻出限制区段302、喇叭状连接器303、中心通道304以及喇叭状开口306,以创造每一气体通道262和大量的气体通道262,例如,在30英吋×36英吋(或1080平方英吋)的扩散板上气体通道的数目约为16,000个。
图4A是本发明扩散板258的一部分截面视图,对于30英吋×36英吋(或1080个平方英吋)扩散板,扩散板258包括大约12,000个气体通道262。气体通道262通常被设计为可增进扩散板258下基材240上材料的均匀沉积。参照图4A,在一实施例中,气体通道262由一限制区段402、和一圆锥状开口406构成。限制区段402自扩散板258的第一侧418穿过,且与圆锥状开口406耦接。限制区段402具有一直径,其介于大约0.030英吋至大约0.070英吋,所选定直径系能允许足够的气体流经扩散板258,同时并提供足够流动阻力以确保均匀的气体能被辐射状散布穿越多孔中心部分410。在扩散板258的第一侧418的扩散孔洞的限制区段的边缘可以是圆的。圆锥状开口406与限制区段402耦接,且从限制区段402以辐射状向外喇叭状延伸到扩散板258的第二侧420。圆锥状开口406在在扩散板258的第二侧420具有一直径,其介于大约0.2英吋到大约0.4英吋之间。第二侧420面向基材的表面。圆锥状开口406的喇叭状角度416介于大约20到大约35度之间。
应该使邻近气体通道262的喇叭状边缘之间的间距保持尽可能地小。喇叭状边缘可以是圆的。间距的一例子是0.05英吋。在邻近气体通道262的喇叭状边缘之间的最大间距是大约0.5英吋。由限制区段402提供的总限制区域直接影响扩散板258上游背压,以及因此应该设定为可防止在洁净期间使用的解离的氟再次结合。限制区段402的长度(411)与圆锥状开口406的长度(412)的比例在大约0.8到大约2.0之间。扩散板的总厚度(等于长度411和长度412的和)在大约0.8英吋到大约1.6英吋之间。圆锥状开口406可增进流入处理区域212的处理气体的等离子体游离。四段孔隙气体通道设计的一例子为具有限制区段402直径为0.042英吋,限制区段402的长度为0.0565英吋,在扩散板258的第二侧420上的圆锥状开口406的直径是0.302英吋,圆锥状开口部分的长度0.0635英吋,以及喇叭状角度22度。示例性扩散板的总厚度是是1.2英吋。
图4B图标一六角形紧密砌成气体扩散板258一示例性实施例的一部分。孔洞450(或先前所述的气体通道262)是以面心六角形460的一图形安排。在图4B并未依据实际尺寸绘制扩散孔洞的尺寸和扩散孔洞的间距。然而,也能够使用其它形状的气体通道262配置(或孔洞450),例如同心圆。
图4C示出图4A所示的设计的替代性设计。在加工限制区段402和圆锥状开口406的制造处理期间,能借由使用不同的钻头集中(或移除)在钻出区段402和圆锥状开口406的期间所遗留的毛边,产生喇叭状连接部分405。除了增加连接部分405,图4C的其余的设计属性与图4A的设计属性相同。
比较图3的四段孔隙设计和图4A的漏斗设计,漏斗设计扩散板制造起来比四段孔隙设计扩散板容易。图4A的漏斗设计需要钻出2区段,包括限制区段402和圆锥状部分406;而图3的四段孔隙设计需要钻出4区段:限制区段302、喇叭状连接器303、中心通道304和喇叭状开口306。钻出2区段以符合制造规格比钻出4区段以符合制造规格要容易得多。由于较少的孔洞总数,图4A的漏斗设计亦比图3的四段孔隙设计有更高的制造产量。例如,对于1080平方英吋(例如,30英吋×36英吋)扩散板,漏斗设计有大约12,000个孔洞,而四段孔隙设计有大约16,000个孔洞。漏斗设计扩散板具有比四段孔隙设计扩散板少大约百分之三十的孔洞。此外,较小的限制区段302(例如,0.016英吋)而言,由于较容易将破碎的钻孔屑从较大的限制区段402移走(例如,0.040英吋和0.055英吋),因此,图4A的漏斗设计具有比图3的四段孔隙设计上较少的粉粒问题)。
除了较高的制造产量和较少的粉粒问题以外,暴露在漏斗设计的处理空间212中的扩散板258的总表面面积也比四段孔隙设计小,因此可减少扩散板(或喷头)上,来自洁净处理的残余的氟的量。借由减少残余的氟量能大大地减少氟在沉积处理期间被并入沉积膜层中的含量。氟被并入柵极介电质(或绝缘)膜(例如,SiO2、SiON、或SiN)中会产生可使薄膜晶体管(TFT)组件功效变差的缺陷中心,例如,Vt(阀值电位)转变和Ion(驱动电流)减少。现已发现,如果一柵极介电质膜层(例如、SiO2、SiON或SiN)中结合的污染物质超过1×1020atom/cm3,会严重地影响TFT组件功效。此外,当洁净气体流过气体分配板时,四段孔隙设计也产生较高的背压。当背压较高时,被用来洁净板的游离氟倾向于再次结合,因而降低洁净效率。
需要周期性地洁净一膜层沉积室,以减少沿着室表面生成的膜,因为它可能剥落而产生粉粒问题。洁净处理的一例子是远程等离子体源(RPS)洁净,它利用产生自含氟气体(例如,NF3,SF6,F2,C2F6,C3F6或C4F8O)的含有氟的等离子体,以进行洁净。在洁净步骤以后,用一净化气体来洗出残余氟;然而,一些残余氟物种可能保留在该室和扩散的板表面区域。图5所示的粗线501显示暴露在处理空间212中的漏斗设计扩散表面。表1比较两漏斗设计(0.040英吋和0.055英吋限制区段直径)和四段孔隙设计的总暴露表面面积。两漏斗设计喇叭状端的直径是0.302英吋并且喇叭状角度是22度。两漏斗设计的限制区段402长度是0.565英吋,而两设计的开喇叭状开口406的长度是0.635英吋。对四段孔隙设计来说,限制区段302的直径是0.016英吋,中心通道304的直径是0.156英吋,喇叭状开口306的大直径是0.25英吋并且喇叭状角度是22度,限制区段的长度是0.046英吋的,喇叭状连接器303的长度是0.032英吋,中心通道304的长度是0.88英吋并且喇叭状开口306的长度是0.242英吋。四段孔隙设计有较高数目的扩散孔洞和较高的总扩散表面面积。0.040英吋和0.055英吋两漏斗设计都有相对紧密的总暴露的扩散表面区域,它大约是四段孔隙设计总暴露的扩散表面面积的一半。
  扩散器类型   在30×36平方英吋扩散器平面上的扩散孔洞数目   总扩散表面面积(平方英吋)
  四段孔隙   16188   10594
  0.055英吋通道   11824   5352
  0.040英吋通道   11824   5666
表1比较两通道设计(0.055英吋通道和0.040英吋通道)和一四段孔隙设计的总暴露表面面积。
图6示出使用一气体扩散板在一处理室的一基材上沉积薄膜和当需要洁净时洁净处理室的一处理流程600的一示例。处理在步骤601开始,其后步骤602把一基材放在具有一扩散板的处理室里。步骤603描述在该处理室中沉积薄膜于基材上。在步骤603以后,系统决定是否处理的基材数目在步骤604达到了一预先决定的洁净限值。预先决定的洁净限值可以是在步骤606的1基材或多于1基材。如果没达到洁净限值,处理序列回到把另一基材放在该处理室里的步骤602。如果洁净限值到达预先决定洁净限值,处理序列回到洁净该处理室的步骤605。在步骤605处理室洁净以后,系统决定总处理的基材的数目是否达到了一预先决定的限制。如果没达到洁净限值,处理序列回到开始沉积处理的步骤601。如果洁净限值已经达到预先决定的限制,沉积处理在步骤607停止。处理流程600只用作演示概念的一示例。本发明也能够运用到涉及其它处理或序列,但是符合沉积和洁净的一般概念的处理流程。
图7显示膜堆栈(其含有SiN膜,以具有两种设计的扩散板沉积而成)的氟含量的二次离子质谱仪(SIMS)分析。在一玻璃基材上,分析的膜包括大约500有磷掺质(n+)的非晶硅层,大约2200非晶硅层,其后大约4500氮化硅层。该非晶硅和氮化硅膜已经以相同的扩散板(或喷头)依次沉积在相同的PECVD室。曲线701显示在SiN膜中0.055英吋漏斗设计的氟含量(少于1×1018atom/cm3)比用四段孔隙设计扩散板(曲线702,大约5×1019atom/cm3)处理的膜的氟含量低了10倍。产生自漏斗设计的较低氟含量有可能导因于暴露处理空间212中的扩散板258相对于四段孔隙设计较低的总表面面积。
由远程等离子体源(RPS)完成的室洁净是使用从含氟气体(例如,NF3,SF6,F2,C2F6,C3F6或C4F8O等等)产生的氟自由基(F*)。能借由一惰性气体(例如,氩(AR))稀释含氟气体,以帮助维持等离子体。然而,惰性气体是选择性添加的。通常,使用流速介于大约0slm至大约6slm的惰性气体完成洁净处理,流速介于1slm至大约6slm的含氟气体,及远程等离子体源产生器的压力维持在0.5Torr到20Torr之间。方程式(1)图标使用NF3作为洁净气体的示例:
             (1)
氟自由基(F*)也能够再次结合以形成氟气体(F2),氟气体就没有如同氟自由基(F*)对SiN膜的洁净效果。由于氟自由基再次结合,洁净效率的减小在SiN膜洁净上比在非晶硅膜洁净上强烈,因为非晶硅也能够借由热F2处理洁净。方程式(2)显示氟自由基再次结合的反应。
                 (2)
在它们到达反应室以前,氟自由基能够再次结合。尽管不想受限于任何理论(除非明确载于申请专利范围),在扩散器中的较狭窄的通道和在腔体264中的较高背压会在进入处理空间212前加强氟自由基再次结合且减少洁净效率。
在表2和表3中提到的三个设计的相同条件下,表2比较在PECVD室沉积的SiN膜和α-Si膜的远程等离子体源洁净率。借由把4slm AR和4slm NF3流入一ASTeX远程等离子体源(RPS)产生器(其维持在6Torr)里,产生远程等离子体源洁净种类。ASTeX远程等离子体源产生器系由麻省Wilmington的MKS仪器制造。
  膜                   洁净率(/min)
  四段孔隙   0.055英吋通道   0.040英吋通道
  SiN   7806   9067   7517
  α-Si   5893   6287   5595
表2比较SiN和α-Si的3种类型扩散设计的RPS洁净效率。
结果显示0.055英吋漏斗形状扩散器具有最好的洁净功效,其次为四段孔隙设计而0.040英吋漏斗最差。结果可能是由于:相较于四段孔隙和0.040英吋漏斗设计,0.055英吋漏斗扩散器的低背压和较不受限的扩散路径,其导致较小的F*再次结合和较高的洁净效率。
表3显示当Ar以4slm流动并且NF3在0-4slm之间,二者RPS等离子体激活(plasma-on)和关闭(plasma-off)条件下,RPS洁净处理的背压(Pb)。
  流速(slm)   Pb(mTorr),四段孔隙 Pb(mTorr),0.055英吋通道 Pb(mTorr),0.040英吋通道
  NF3   Pbplasma-off   Pbplasma-on Pbplasma-off   Pbplasma-on Pbplasma-off   Pbplasma-on
  0   1280   1280 930   930 1260   1260
  1   1530   1840 1070   1310 1450   1730
  2   1770   2370 1200   1650 1640   2150
  3   2000   2850 1330   1940 1810   2530
  4   2220   3300 1470   2210 1960   2880
表3比较在不同NF3流速下和当RPS等离子体开启或关闭时,3种类型的扩散设计的背压。
0.055英吋漏斗扩散器有最低的背压和有最少的F*再次结合以及最高的SiN膜洁净率。然而,四段孔隙设计的背压比0.040英吋漏斗设计的背压高,而且四段孔隙设计的洁净率高于0.040英吋漏斗设计。它显示只是导因于压力差别的再次结合不能解释洁净率结果。扩散中的再次结合也起了重要作用。
表4比较四段孔隙和0.040英吋漏斗设计的扩散通道的最狭窄的直径、长度和体积。与四段孔隙设计比较,0.040英吋漏斗设计有较大的通道体积。较大的通道体积允许比在狭窄扩散通道中多的氟自由基的再次结合,因此影响洁净率结果。
  四段孔隙   0.040英吋通道
  扩散器通道的最窄直径(英吋)   0.016   0.040
  最窄扩散器通道的长度(英吋)   0.046   0.565
  最窄扩散器通道的体积(立方英吋)   0.00001   0.00071
表4比较四段孔隙和0.040英吋漏斗设计的扩散通道的最狭窄的直径、长度和体积。
洁净率也依据洁净气体(例如NF3)解离效率。表5显示在RPS洁净处理下,三种设计的室压力(在处理空间212)数据。所有三种扩散设计的室压力处于类似范围。
 流速(slm)   Pc(mTorr),四段孔隙 Pc(mTorr),0.055英吋通道 Pc(mTorr),0.040英吋通道
 NF3   Pcplasma-off  Pcplasma-on Pcplasma-off   Pcplasma-on Pcplasma-off   Pcplasma-on
 0   345   345 330   330 323   323
 1   391   460 374   451 365   430
 2   438   584 420   567 409   536
 3   483   692 464   676 452   635
 4   528   796 506   773 494   731
表5比较在不同NF3流速及当等离子体开启或关闭时,三种类型扩散设计的室压力(在处理空间212)数据。
MF3解离效率直接正比于等离子体开启时的压力增加净值与等离子体开闭时的压力增加净值两者的比率。表6显示对于四段孔隙、0.055英吋漏斗和0.040英吋漏斗设计,等离子体开启时的压力增加净值与等离子体开闭时的压力增加净值的比率。ΔPcplasma-on表示当等离子体开启时,在0 NF3流速下室压力与某种NF3流速下室压力之间的压力差。ΔPcplasma-off表示当等离子体关闭时,在某种NF3流速下室背压与0 NF3流速下室压力之间的压力差。ΔPcplasma-on与ΔPcplasma-off的比率量化了NF3解离效率。0.055英吋漏斗设计的解离效率最高,其次是四段孔隙设计,而后是0.040英吋漏斗设计。NF3解离效率数据系相关于洁净率数据。
  NF3流速(slm)   ΔPcplasma-on/ΔPcplasma-off,四段孔隙   ΔPcplasma-on/ΔPcplasma-off,0.055英吋通道   ΔPcplasma-on/ΔPcplasma-off,0.040英吋通道
  1   2.50   2.75   2.55
  2   2.57   2.63   2.48
  3   2.51   2.58   2.42
  4   2.46   2.52   2.39
表6比较上述三种设计当等离子体开启时的压力增加净值与当等离子体开闭时的压力增加净值两者间的比率。
除了洁净效率以外,也应该检查扩散设计对沉积功效的冲击,以确保沉积功效满足需要。表7比较三种扩散设计在相同的处理条件下使用不同扩散设计的SiN和-Si沉积均匀性和比率。SiN膜的沉积是使用600标准立方厘米(sccm)  SiH4、2660sccm NH3和6660sccm N2,1.5毫米汞柱压力(Torr)和3050瓦特电源。扩散板和支撑组件之间的间距是1.09英吋。处理温度维特在大约355℃。α-si膜的沉积系使用1170sccm  SiH4和4080sccm H2,3.0Torr和950瓦特电源。扩散板和支撑组件之间的间距是1.09英吋。处理温度维特在大约355℃。
  膜   四段孔隙   0.055英吋通道   0.040英吋通道
  均匀性(%)   沉积率(/min)   均匀性(%)   沉积率(/min)   均匀性(%)   沉积率(/min)
  SiN   3.8   1746   4.3   1738   3.2   1740
  α-Si   3.9   1272   4.5   1261   4.4   1226
表7比较三种扩散设计的SiN和-Si沉积均匀性和比率。
结果显示三种设计的沉积率和均匀性系相对地可比较的。三种设计的沉积率几乎相同。0.055英吋漏斗设计的均匀性比四段孔隙设计差。然而,能够由窄化限制区段402的直径(0.040英吋与0.055英吋)来改进均匀性。0.040英吋漏斗设计(3.2%和4.4%)的均匀性比0.055英吋漏斗设计(4.3%和4.5%)好。对于SiN膜,0.040英吋漏斗设计(3.2%)甚至比四段孔隙设计(3.8%)好。其它膜性质,例如膜压力、折射系数、和湿蚀刻率,这三种设计彼此相当。结果显示膜均匀性所受到的影响来自扩散设计并且能够借由调整限制区段的直径来调节。结果也显示漏斗设计能够达成与四段孔隙设计相同的沉积性质,例如,均匀性、沉积率、膜压力、折射系数和湿蚀刻率。
除扩散设计以外,处理压力也能够影响沉积率和均匀性。表8图标处理压力(或室压力)对于0.055英吋漏斗设计扩散器的均匀性和沉积率的影响。较低的室压力有较佳的均匀性和较低的沉积率。
  室压力(Torr)   均匀性(%)   沉积率(/min)
  1.2   3.9   1545
  1.5   5.5   1756
  1.8   5.1   1784
表8显示处理压力(或室压力)对于使用0.055英吋漏斗设计扩散器的SiN均匀性和沉积率的影响。
漏斗设计扩散板制造起来较四段孔隙设计扩散板来得容易。因此,改进了制造漏斗设计扩散板的产量和成本。除了易于制造以外,漏斗设计扩散板也有益于在RPS洁净以后在扩散板上留下较少的残余氟。它导致柵极介电质膜中较少的氟结合和改进组件功效。依据选择的限制区段402的直径,漏斗设计能有比四段孔隙设计更好或相当的洁净率和效率。漏斗设计也能有相当于四段孔隙设计的沉积率和均匀性的功效。
对于具有较大表面面积的平板面板显示器,需要具有较大的顶部表面面积的扩散板258。对于顶部表面面积的增加,扩散板258的厚度能增加以保持支撑该扩散板的强度。图8A显示图4A漏斗设计的较厚扩散板的一变型。图8A的所有相应的设计属性与图4A相同。用来设计限制区段802、喇叭状区段806、和喇叭状角度816的原则与用来设计图4A中的限制区段402、圆锥状开口406、和喇叭状角度416的原则类似。喇叭状区段806目前较佳的设定是在图8A示出的圆锥状横截面。然而,也能够使用包括凹面横截面(例如,抛物线)和凸面横截面的其它设定。图8A和图4A之间的差别是图8A的长度801较厚。能够在扩散板258的第一侧818和限制区段802之间产生较大的直径区段804。由喇叭状连接器803把较大直径区段804连接在限制区段802上。在加工限制区段802和较大直径区段804的制造处理期间,喇叭状连接部分803的产生系借由使用不同钻头集中(或移走)在钻出区段802和804期间留下的毛边。因为较大直径区段804有比限制区段802部分大的直径,它只稍微增加制造时间并不影响制造产量。较大直径区段804的直径应该至少2倍于限制区段802的直径,以确保增加较大的直径区段时,相较于图4A的漏斗设计,亦不致于改变在处理期间的背压和室压力。因此,使用图8A的设计的沉积处理和沉积膜的性质相同于使用图4A的漏斗设计的沉积处理和沉积膜的性质。较大直径区段804具有一直径其介于大约0.06英吋到大约0.3英吋之间。扩散板258的第一侧818的扩散孔洞的较大直径区段804的边缘可以是圆的。较大直径区段的长度801与限制区段802的长度811的比率应该在大约0.3到大约1.5之间。扩散板的总厚度(它等于长度801、长度811和长度812的总和)在大约1.0英吋到大约2.2英吋之间。
图8B示出图8A设计的替代性设计。在加工限制区段802和喇叭状区段806的制造处理期间,喇叭状连接部分805的产生能借由使用不同钻头集中(或移走)在钻出区段802和806期间留下的毛边。除了连接部分805的增加,图8B的其余设计属性与图8A的设计属性相同。
虽然本发明已说明并详述合并本发明教示的数个较佳实施例,但那些熟知本技术的人员据以构思的变型的实施例仍落入本发明教示的精神和原则中。

Claims (80)

1.一种用于一等离子体处理室的气体分配板组件,包含:
一扩散板,其具有一上游侧及一下游侧;及
复数个气体通道,其穿过该上游侧及该下游侧之间,其中该复数个气体通道的至少一者具有:一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一同轴圆锥形部分,其是该扩散板的剩余长度,该圆锥形部分的上游端具有与该正圆柱形部分相同的直径,且该圆锥形部分之下游端具有一较大的直径。
2.如权利要求1所述的气体分配板,其特征在于该正圆柱形部分的直径在0.030英吋到0.070英吋之间。
3.如权利要求1所述的气体分配板,其特征在于该圆锥部分下游端的直径在0.2英吋到0.4英吋之间。
4.如权利要求3所述的气体分配板,其特征在于该圆锥形部分以20度至35度的角度往外形成喇叭状。
5.如权利要求1所述的气体分配板,其特征在于该正圆柱形部分的长度与该圆锥形部分的长度的比例在0.8到2.0之间。
6.如权利要求1所述的气体分配板,其特征在于相邻气体通道的该圆锥形部分的下游端之间的间距至多是0.5英吋。
7.如权利要求1所述的气体分配板,其特征在于该扩散板的厚度在0.8英吋到1.6英吋之间。
8.如权利要求1所述的气体分配板,其特征在于该扩散板是多边形的。
9.如权利要求1所述的气体分配板,其特征在于穿过扩散板形成的该正圆柱形部分对流速限制的程度与该同轴喇叭形状部分对流速限制的程度不同。
10.如权利要求8所述的气体分配板,其特征在于该扩散板是矩形的。
11.如权利要求10所述的气体分配板,其特征在于该气体扩散板尺寸是至少1080平方英吋。
12.一种用于一等离子体处理室的气体分配板组件,包含:
一扩散板,其具有一上游侧及一下游侧且位在该等离子体处理室中,该等离子体处理室耦接至一远程等离子体源,而该远程等离子体源耦接至一氟源;及
复数个气体通道,其穿过该上游侧及该下游侧之间,其中该复数个气体通道的至少一者具有:一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一同轴圆锥形部分,其是该扩散板的剩余长度,该圆锥形部分的上游端具有与该正圆柱形部分相同的直径,而该圆锥形部分的下游端具有一较大的直径。
13.如权利要求12所述的气体分配板,其特征在于该正圆柱形的直径在0.030英吋到0.070英吋之间。
14.如权利要求12所述的气体分配板,其特征在于该圆锥形部分下游端的直径在0.2英吋到0.4英吋之间。
15.如权利要求14所述的气体分配板,其特征在于该圆锥形部份是以20度至35度的角度往外形成喇叭状。
16.如权利要求12所述的气体分配板,其特征在于该正圆柱形部分的长度与该圆锥形部分的长度的比例在0.8到2.0之间。
17.如权利要求12所述的气体分配板,其特征在于相邻气体通道的该圆锥形部分的下游端的间距至多是0.5英吋。
18.如权利要求12所述的气体分配板,其特征在于该扩散板的厚度在0.8英吋到1.6英吋之间。
19.如权利要求12所述的气体分配板,其特征在于该扩散板是多边形的。
20.如权利要求12所述的气体分配板,其特征在于穿过扩散板形成的该正圆柱形对流速限制的程度与该同轴喇叭形状部分对流速限制的程度不同。
21.如权利要求19所述的多边形气体分配板,其特征在于该扩散板是矩形的。
22.如权利要求21所述的矩形分配板,其特征在于该气体扩散板尺寸是至少1080平方英吋。
23.一种用于一等离子体处理室的气体分配板组件,包含:
一扩散板,其在等离子体处理室中且具有一上游侧及一下游侧;及
复数个气体通道,其穿过该上游侧及该下游侧之间,其中该复数个气体通道的至少一者具有:一第一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一第二同轴正圆柱形部分,其具有一较小的直径,且连接至该第一正圆柱形部分;一同轴圆锥形部分,其是该扩散板剩余长度,且连接至该第二正圆柱形部分,该圆锥形部分的上游端具有与该第二正圆柱形部分相同的直径,而该圆锥形部分的下游端具有一较大的直径。
24.如权利要求23所述的气体分配板,其特征在于该第一正圆柱形部分的直径在0.06英吋到0.3英吋之间。
25.如权利要求23所述的气体分配板,其特征在于该第二正圆柱形部分的直径在0.030英吋到0.070英吋之间。
26.如权利要求23所述的气体分配板,其特征在于该第一正圆柱形部分的长度与该第二正圆柱形部分的长度的比值在0.3到1.5之间。
27.如权利要求23所述的气体分配板,其特征在于该圆锥形部分下游端的直径在0.2英吋到0.4英吋之间。
28.如权利要求27所述的气体分配板,其特征在于该圆锥形部分是以20度至35度的角度往外形成喇叭状。
29.如权利要求23所述的气体分配板,其特征在于该第二正圆柱形部分的长度与该圆锥形部分的长度的比例在0.8到2.0之间。
30.如权利要求23所述的气体分配板,其特征在于相邻气体通道的该圆锥形部分的下游端之间的间距至多是0.5英吋。
31.如权利要求23所述的气体分配板,其特征在于该扩散板的厚度在1.0英吋到2.2英吋之间。
32.如权利要求23所述的气体分配板,其特征在于该扩散板是多边形的。
33.如权利要求23所述的气体分配板,其特征在于穿过该扩散板形成的该正圆柱形对流速限制的程度与该同轴喇叭形状部分对流速限制的程度不同。
34.如权利要求32所述的多边形气体分配板,其特征在于该扩散板是矩形的。
35.如权利要求34所述的矩形分配板,其特征在于该气体扩散板尺寸是至少1080平方英吋。
36.一种用于一等离子体处理室的气体分配板组件,包含:
一扩散板,其在等离子体处理室具有一上游侧及一下游侧,该等离子体处理室耦接至一远程等离子体源,而该远程等离子体源耦接至一氟源;及
复数个气体通道,其穿过该上游侧及该下游侧之间,其中该复数个气体通道的至少一者具有:一第一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一第二同轴正圆柱形部分,其具有一较小的直径,且连接至该第一正圆柱形部分;一同轴圆锥形部分,其是该扩散板剩余长度,且连接至该第二正圆柱形部分,该圆锥形部分的上游端具有与该第二正圆柱形部分相同的直径,而该圆锥形部分的下游端具有一较大的直径。
37.如权利要求36所述的气体分配板,其特征在于该第一正圆柱形部分的直径在0.06英吋到0.3英吋之间。
38.如权利要求36所述的气体分配板,其特征在于该第二正圆柱形部分的直径在0.030英吋到0.070英吋之间。
39.如权利要求36所述的气体分配板,其特征在于该第一正圆柱形部分的长度与该第二正圆柱形部分的长度的比值在0.3到1.5之间。
40.如权利要求36所述的气体分配板,其特征在于该圆锥形部分下游端的直径在0.2英吋到0.4英吋之间。
41.如权利要求36所述的气体分配板,其特征在于该圆锥形部分是以20度至35度的角度往外形成喇叭状。
42.如权利要求36所述的气体分配板,其特征在于该第二正圆柱形部分的长度与该圆锥形部分的长度的比值在0.8到2.0之间。
43.如权利要求36所述的气体分配板,其特征在于相邻气体通道的该圆锥形部分的下游端之间的间距至多是0.5英吋。
44.如权利要求36所述的气体分配板,其特征在于该扩散板的厚度在1.0英吋到2.2英吋之间。
45.如权利要求36所述的气体分配板,其特征在于该扩散板是多边形的。
46.如权利要求36所述的气体分配板,其特征在于穿过该扩散板形成的该正圆柱形对流速限制的程度与该同轴喇叭形状部分对流速限制的程度不同。
47.如权利要求45所述的多边形气体分配板,其特征在于该扩散板是矩形的。
48.如权利要求47所述的矩形分配板,其特征在于该气体扩散板尺寸是至少1080平方英吋。
49.一种沉积一薄膜于一基材的方法,包含:
放置一基材于一处理室中,该处理室具有:一扩散板,其具有一上游侧和一下游侧;和复数个气体通道,其穿过该扩散板的上游侧和下游侧之间,该复数个气体通道的至少一者具有:一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一同轴圆锥形部分,其是该扩散板的剩余长度,该圆锥形部分的上游端具有与该正圆柱形部分相同的直径,而该圆锥形部分的下游端具有一较大的直径;以及
沉积一薄膜于该处理室中该基材上。
50.如权利要求49所述的方法,其特征在于该处理室与一远程等离子体源耦接,并且该远程等离子体源与一氟源耦接。
51.如权利要求50所述的方法,其特征在于该扩散板是矩形的。
52.如权利要求51所述的方法,其特征在于该处理室是一等离子体加强化学气相沉积室。
53.如权利要求51所述的方法,其特征在于在该基材上沉积该薄膜以产生平板面板显示器。
54.如权利要求51所述的方法,其特征在于可借由调整该正圆柱形部分的直径来修改该薄膜沉积速率和均匀性。
55.如权利要求51所述的方法,其特征在于该薄膜可以是二氧化硅、氮氧化硅、氮化硅、非晶硅或有掺质的非晶硅。
56.如权利要求51所述的方法,其特征在于该薄膜具有洁净残余污染物质,如氟,其浓度小于1×1020atom/cm3
57.如权利要求51所述的方法,其特征在于可借由调整该正圆柱形部分的直径和该圆锥形部分的喇叭状角度使该洁净残余污染物质,如氟,的浓度到少于1×1020atom/cc。
58.一种沉积一薄膜于一基材的方法,包括:
放置一基材于一处理室,该处理室具有:一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过该扩散板的上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:一第一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一第二同轴正圆柱形部分,其具有一较小的直径,且连接至该第一正圆柱形部分;一同轴圆锥形部分,其是该扩散板剩余长度,且连接至该第二正圆柱形部分,该圆锥形部分的上游端具有与该第二正圆柱形部分相同的直径,而该圆锥形部分的下游端具有一较大的直径;以及
沉积一薄膜于该处理室中该基材上。
59.如权利要求58所述的方法,其特征在于该处理室与一硅源、一远程等离子体源耦接,并且该远程等离子体源与一氟源耦接。
60.如权利要求59所述的方法,其特征在于该扩散板是矩形的。
61.如权利要求60所述的方法,其特征在于该处理室是一等离子体加强化学气相沉积室。
62.如权利要求60所述的方法,其特征在于在该基材上沉积该薄膜以产生平板面板显示器。
63.如权利要求60所述的方法,其特征在于可借由调整该正圆柱形部分的直径来修改该薄膜沉积速率和均匀性。
64.如权利要求60所述的方法,其特征在于该薄膜可以是二氧化硅、氮氧化硅、氮化硅、非晶硅或有掺质的非晶硅。
65.如权利要求60所述的方法,其特征在于该薄膜具有洁净残余污染物质,如氟,其浓度小于1×1020atom/cm3
66.如权利要求60所述的方法,其特征在于可借由调整该正圆柱形部分的直径和该圆锥形部分的喇叭状角度使该洁净残余污染物质,如氟,的浓度到少于1×1020atom/cc。
67.一种洁净一处理室的方法,包括:
放置一基材于一处理室,其耦接至一远程等离子体源,且该远程等离子体源耦接至一氟源,且具有一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过该扩散板的上游侧和下游侧之间,其中该复数个气体通道的至少一者具有:一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一同轴圆锥形部分,其是该扩散板的剩余长度,该圆锥形部分的上游端具有与该正圆柱形部分部分相同的直径,而该圆锥形部分的下游端具有一较大的直径;
沉积一薄膜于该处理室中该基材上;
决定是否所处理基材的数目已达一预先设定的洁净限值;
重复放置一基材于该处理室的步骤,如果所处理基材的数目未达一预先设定的洁净限值,则沉积一薄膜于该基材上,并决定是否所处理基材的数目已达该预先设定的洁净限值,直到所处理基材的数目已达该预先设定的洁净限值;以及
如果所处理基材的数目已达该预先设定的洁净限值,则洁净该处理室。
68.如权利要求67所述的方法,其特征在于该扩散板是矩形的。
69.如权利要求67所述的方法,其特征在于该处理室是一等离子体加强化学气相沉积室。
70.如权利要求60所述的方法,其特征在于该沉积的薄膜可以是二氧化硅、氮氧化硅、氮化硅、非晶硅或有掺质的非晶硅。
71.如权利要求67所述的方法,其特征在于室洁净是以一远程等离子体源清洁处理工序来完成,该处理工序使用:流速介于0slm至6slm的惰性气体;流速介于1slm至6slm的含氟气体;及维持远程等离子体源产生器的压力在0.5Torr到20Torr之间。
72.如权利要求71所述的方法,其特征在于该惰性气体是氩并且含氟气体是NF3
73.如权利要求67所述的方法,其特征在于可借由调整该正圆柱形部分的直径来修改洁净率。
74.一种洁净一处理室的方法,包括:
放置一基材于一处理室,其耦接至一远程等离子体源,且该远程等离子体源耦接至一氟源,且具有一扩散板,其具有一上游侧和一下游侧;以及复数个气体通道,其穿过该扩散板的上游侧和下游侧之间,其特征在于该复数个气体通道的至少一者具有:一第一正圆柱形部分,其是延伸自该上游侧的长度的一部分;及一第二同轴正圆柱形部分,其具有一较小的直径,且连接至该第一正圆柱形部分;一同轴圆锥形部分,其是该扩散板剩余长度,且连接至该第二正圆柱形部分,该圆锥形部分的上游端具有与该第二正圆柱形部分相同的直径且该圆锥形部分的下游端具有一较大的直径;
沉积一薄膜于该处理室中该基材上;
决定是否处理基材的数目已达一预先设定的洁净限值;
重复放置一基材于该处理室的步骤,如果所处理基材的数目未达一预先设定的洁净限值,则沉积一薄膜于该基材上,并决定是否所处理基材的数目已达该预先设定的洁净限值,直到所处理基材的数目已达该预先设定的洁净限值为止;以及
如果所处理基材的数目已达该预先设定的洁净限值,则洁净该处理室。
75.如权利要求74所述的方法,其特征在于该扩散板是矩形的。
76.如权利要求74所述的方法,其特征在于该处理室是一等离子体加强化学气相沉积室。
77.如权利要求74所述的方法,其特征在于该沉积的薄膜可以是二氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(SiN)、非晶硅(α-Si)或有掺质的非晶硅(doped α-Si)。
78.如权利要求74所述的方法,其特征在于室洁净是以一远程等离子体源清洁处理工序来完成,该制程:流速介于0slm至6slm的惰性气体;流速介于1slm至6slm的含氟气体;及维持远程等离子体源产生器的压力在0.5Torr到20Torr之间。
79.如权利要求78所述的方法,其特征在于该惰性气体是氩并且含氟气体是NF3
80.如权利要求74所述的方法,其特征在于可借由调整该正圆柱形部分的直径来修改洁净率。
CN2005100672743A 2004-04-12 2005-04-12 用于大区域等离子体加强化学气相沉积的气体扩散喷头设计 Active CN1715442B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/823,347 US20050223986A1 (en) 2004-04-12 2004-04-12 Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US10/823,347 2004-04-12

Publications (2)

Publication Number Publication Date
CN1715442A true CN1715442A (zh) 2006-01-04
CN1715442B CN1715442B (zh) 2013-02-13

Family

ID=35059265

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005100672743A Active CN1715442B (zh) 2004-04-12 2005-04-12 用于大区域等离子体加强化学气相沉积的气体扩散喷头设计

Country Status (5)

Country Link
US (3) US20050223986A1 (zh)
JP (1) JP5002132B2 (zh)
KR (1) KR100658239B1 (zh)
CN (1) CN1715442B (zh)
TW (1) TWI301294B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101492812B (zh) * 2008-11-24 2011-03-23 招商局漳州开发区创大太阳能有限公司 一种可连续大面积均匀化学气相沉积的喷头系统
CN102754190A (zh) * 2009-07-15 2012-10-24 应用材料公司 Cvd腔室的流体控制特征结构
CN103797156A (zh) * 2011-09-07 2014-05-14 应用材料公司 用于线性沉积腔室中的气体分布与等离子体应用的方法与设备
CN104233229A (zh) * 2013-06-24 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及等离子体加工设备
CN104975272A (zh) * 2014-04-01 2015-10-14 台积太阳能股份有限公司 扩散头装置和气体分布方法
CN105506577A (zh) * 2016-03-02 2016-04-20 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
JP2016119475A (ja) * 2014-12-22 2016-06-30 群創光電股▲ふん▼有限公司Innolux Corporation 表示パネル
CN106784310A (zh) * 2012-03-13 2017-05-31 环球展览公司 用于通过喷嘴沉积有机材料的方法和所述方法中使用的装置
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
CN114107953A (zh) * 2021-09-18 2022-03-01 江苏微导纳米科技股份有限公司 原子层沉积装置及其喷淋板

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005167019A (ja) * 2003-12-03 2005-06-23 Sharp Corp トランジスタおよびそのゲート絶縁膜の成膜に用いるcvd装置
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
EP1937871A2 (en) * 2005-10-17 2008-07-02 OC Oerlikon Balzers AG Cleaning means for large area pecvd devices using a remote plasma source
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
JP4550040B2 (ja) * 2005-12-16 2010-09-22 セメス株式会社 カーボンナノチューブの合成装置及び方法
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
WO2008123142A1 (ja) * 2007-03-27 2008-10-16 Sekisui Chemical Co., Ltd. プラズマ処理装置
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7588957B2 (en) 2007-10-17 2009-09-15 Applied Materials, Inc. CVD process gas flow, pumping and/or boosting
JP5006938B2 (ja) * 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
KR100953828B1 (ko) * 2008-01-15 2010-04-20 주식회사 테스 플라즈마 처리장치
WO2009133193A1 (en) * 2008-05-02 2009-11-05 Oerlikon Trading Ag, Truebbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
KR101897604B1 (ko) * 2010-04-28 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
CN102985172B (zh) 2010-07-15 2015-07-01 韩国机械研究院 基于旋转单元的微尺寸气泡发生器
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
CN102776483A (zh) * 2011-05-09 2012-11-14 无锡尚德太阳能电力有限公司 等离子体辅助气相传输沉积装置及方法
WO2012156062A1 (de) * 2011-05-13 2012-11-22 Leybold Optics Gmbh Verfahren zur plasmabehandlung eines substrats in einer plasmavorrichtung
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
JP6137066B2 (ja) * 2014-06-23 2017-05-31 住友金属鉱山株式会社 ガス放出パイプ及びこれを具備する成膜装置並びにこの装置を用いた酸化物膜又は窒化物膜の成膜方法
US9502686B2 (en) 2014-07-03 2016-11-22 Applied Materials, Inc. Fluorine-containing polymerized HMDSO applications for OLED thin film encapsulation
CN105446275B (zh) * 2014-08-12 2018-05-25 北京北方华创微电子装备有限公司 气路界面显示方法和系统
KR101983334B1 (ko) * 2015-06-02 2019-09-03 에이피시스템 주식회사 박막 증착장치 및 박막 증착방법
KR102638572B1 (ko) 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
JP6550962B2 (ja) * 2015-06-24 2019-07-31 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
CN106887396A (zh) * 2015-12-16 2017-06-23 浙江鸿禧能源股份有限公司 一种新型的臭氧发生器喷气板的设计方法
CN105845609B (zh) * 2016-05-27 2019-08-20 京东方科技集团股份有限公司 支撑设备及支撑方法
WO2017209802A1 (en) 2016-06-03 2017-12-07 Applied Materials, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US20180090300A1 (en) * 2016-09-27 2018-03-29 Applied Materials, Inc. Diffuser With Corner HCG
KR101753249B1 (ko) * 2017-01-26 2017-09-18 이선영 반도체 공정챔버 샤워헤드
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
KR101982615B1 (ko) * 2017-05-26 2019-05-29 제주대학교 산학협력단 원자층 증착용 헤드 및 이를 갖는 원자층 증착 장치
KR101774331B1 (ko) 2017-06-27 2017-09-04 이선영 반응가스 주입용 반도체 공정챔버 샤워헤드
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
KR102455239B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102092641B1 (ko) * 2017-10-31 2020-03-24 제주대학교 산학협력단 원자층 증착 헤드 및 이를 포함하는 원자층 증착 장치
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN110430651B (zh) * 2019-07-29 2023-05-05 四川大学 平行板dbd等离子体发生器
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11685994B2 (en) * 2019-09-13 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. CVD device pumping liner
US20210214846A1 (en) * 2020-01-15 2021-07-15 Asm Ip Holding B.V. Showerhead assembly and components
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
US20230122134A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Deposition chamber system diffuser with increased power efficiency

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS602489B2 (ja) * 1977-05-02 1985-01-22 株式会社豊田中央研究所 低騒音用圧力流体放出装置
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
EP0244204A1 (en) * 1986-04-28 1987-11-04 Western Packaging Systems Limited Low pressure atomization nozzle
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0435029A (ja) * 1990-05-31 1992-02-05 Hitachi Electron Eng Co Ltd プラズマcvd装置のシャワー電極構造
US5359254A (en) * 1990-06-26 1994-10-25 Research Institute Of Applied Mechanics And Electrodynamics Plasma compensation cathode
GB9202434D0 (en) * 1992-02-05 1992-03-18 Xaar Ltd Method of and apparatus for forming nozzles
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
DE69629412T2 (de) * 1995-04-20 2004-06-24 Ebara Corp. Anlage zur Dampfabscheidung von Dünnschichten
US5573682A (en) * 1995-04-20 1996-11-12 Plasma Processes Plasma spray nozzle with low overspray and collimated flow
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JP3649267B2 (ja) * 1996-10-11 2005-05-18 株式会社荏原製作所 反応ガス噴射ヘッド
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
USRE40046E1 (en) * 1997-04-11 2008-02-12 Tokyo Electron Limited Processing system
JP3108389B2 (ja) * 1997-07-08 2000-11-13 アイシン精機株式会社 後輪操舵装置
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
FR2781707B1 (fr) * 1998-07-30 2000-09-08 Snecma Procede d'usinage par laser excimere de trous ou de formes a profil variable
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
DE19937961A1 (de) * 1999-08-11 2001-02-15 Bosch Gmbh Robert Brennstoffeinspritzventil und Verfahren zur Herstellung von Austrittsöffnungen an Ventilen
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6460369B2 (en) * 1999-11-03 2002-10-08 Applied Materials, Inc. Consecutive deposition system
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
DE50100603D1 (de) * 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4145457B2 (ja) * 2000-02-08 2008-09-03 信越化学工業株式会社 プラズマエッチング装置用電極板
KR100545034B1 (ko) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6998579B2 (en) * 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
JP2002280377A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
JP4559070B2 (ja) * 2001-06-29 2010-10-06 東京エレクトロン株式会社 製造システムを動作させる方法および基板処理のための製造システム
JP3689354B2 (ja) * 2001-08-06 2005-08-31 シャープ株式会社 プラズマプロセス装置
EP1295647A1 (en) * 2001-09-24 2003-03-26 The Technology Partnership Public Limited Company Nozzles in perforate membranes and their manufacture
US7052622B2 (en) * 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4151308B2 (ja) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
JP2004079784A (ja) * 2002-08-19 2004-03-11 Toshiba Ceramics Co Ltd 流体流通用シリカガラス板及びその製造方法
US20040039989A1 (en) * 2002-08-26 2004-02-26 Peter Warren Structured forms with configurable labels
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
WO2004061888A2 (en) * 2002-12-20 2004-07-22 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004239251A (ja) * 2003-02-06 2004-08-26 Aisan Ind Co Ltd 燃料噴射弁
JP4098121B2 (ja) * 2003-03-03 2008-06-11 株式会社日立製作所 平面型表示装置
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
US6852139B2 (en) * 2003-07-11 2005-02-08 Excellatron Solid State, Llc System and method of producing thin-film electrolyte
TW200526800A (en) * 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060038554A1 (en) * 2004-02-12 2006-02-23 Applied Materials, Inc. Electron beam test system stage
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101492812B (zh) * 2008-11-24 2011-03-23 招商局漳州开发区创大太阳能有限公司 一种可连续大面积均匀化学气相沉积的喷头系统
CN102754190A (zh) * 2009-07-15 2012-10-24 应用材料公司 Cvd腔室的流体控制特征结构
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
CN103797156A (zh) * 2011-09-07 2014-05-14 应用材料公司 用于线性沉积腔室中的气体分布与等离子体应用的方法与设备
CN106784310A (zh) * 2012-03-13 2017-05-31 环球展览公司 用于通过喷嘴沉积有机材料的方法和所述方法中使用的装置
CN104233229A (zh) * 2013-06-24 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及等离子体加工设备
CN104975272A (zh) * 2014-04-01 2015-10-14 台积太阳能股份有限公司 扩散头装置和气体分布方法
JP2016119475A (ja) * 2014-12-22 2016-06-30 群創光電股▲ふん▼有限公司Innolux Corporation 表示パネル
CN105506577A (zh) * 2016-03-02 2016-04-20 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN105506577B (zh) * 2016-03-02 2018-01-23 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
CN114107953A (zh) * 2021-09-18 2022-03-01 江苏微导纳米科技股份有限公司 原子层沉积装置及其喷淋板

Also Published As

Publication number Publication date
US8795793B2 (en) 2014-08-05
TWI301294B (en) 2008-09-21
US20050223986A1 (en) 2005-10-13
US11692268B2 (en) 2023-07-04
JP2005317958A (ja) 2005-11-10
KR100658239B1 (ko) 2006-12-14
CN1715442B (zh) 2013-02-13
JP5002132B2 (ja) 2012-08-15
US20090104376A1 (en) 2009-04-23
US20140230730A1 (en) 2014-08-21
KR20060045618A (ko) 2006-05-17
TW200533781A (en) 2005-10-16

Similar Documents

Publication Publication Date Title
CN1715442A (zh) 用于大区域等离子体加强化学气相沉积的气体扩散喷头
CN201021459Y (zh) 具有盖板的等离子处理室及其气体分配板组件
TWI259506B (en) Plasma uniformity control by gas diffuser hole design
CN101065513A (zh) 用于改良瞬时相沉积的气体分配系统
CN101018886A (zh) 通过气体分散器的等离子体均匀度控制
CN1791972A (zh) 等离子体处理装置
CN101144154B (zh) 采用气体扩散板通道设计的等离子体均匀度控制
CN1700428A (zh) 借由控制膜层生成前驱物来控制所沉积氮化硅膜的性质及均一性的方法
CN1582488A (zh) 处理装置和处理方法
CN1407135A (zh) 表面处理装置
CN1940129A (zh) 高密度电浆化学气相沉积反应器及方法
CN1865496A (zh) 基板处理装置及基板处理方法
JP2000012870A (ja) 薄膜トランジスタのための多段階cvd法
CN101871099A (zh) 通过气体分散器的等离子体均匀度控制
JPH09142821A (ja) アモルファスシリコンベース膜の堆積方法
CN1701416A (zh) 热处理装置
CN1902732A (zh) 用于改进cvd膜性能的边流面板
CN1595618A (zh) 晶片边缘刻蚀设备及方法
TW202111152A (zh) 化學氣相沉積設備、泵浦襯套及化學氣相沉積方法
CN104883806B (zh) 一种等离子射流装置和组件以及一种晶硅电池表面氧化和除污的方法
KR200490445Y1 (ko) 분리된 가스 피드 라인들을 갖는 플라즈마 프로세스 챔버
KR20100077887A (ko) 플라즈마 처리장치
CN1193436C (zh) 薄膜的形成方法
CN1917120A (zh) 处理衬底的装置和处理电子源衬底的装置
US20230122134A1 (en) Deposition chamber system diffuser with increased power efficiency

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant