JP2005317958A - 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 - Google Patents

大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 Download PDF

Info

Publication number
JP2005317958A
JP2005317958A JP2005114911A JP2005114911A JP2005317958A JP 2005317958 A JP2005317958 A JP 2005317958A JP 2005114911 A JP2005114911 A JP 2005114911A JP 2005114911 A JP2005114911 A JP 2005114911A JP 2005317958 A JP2005317958 A JP 2005317958A
Authority
JP
Japan
Prior art keywords
distribution plate
gas distribution
diameter
gas
conical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005114911A
Other languages
English (en)
Other versions
JP2005317958A5 (ja
JP5002132B2 (ja
Inventor
Soo Young Choi
ヤン チョイ スー
M White John
ジョン エム. ホワイト
Robert I Green
アイ. グリーン ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005317958A publication Critical patent/JP2005317958A/ja
Publication of JP2005317958A5 publication Critical patent/JP2005317958A5/ja
Application granted granted Critical
Publication of JP5002132B2 publication Critical patent/JP5002132B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】 処理チャンバ内にガスを分配させるためのガス分配プレートの改善された実施形態を提供する。
【解決手段】 一実施形態においては、ガス分配プレートは、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路とを含んでいる。ガス通路の少なくとも1つは、上流側から伸びたその長さの一部の直円筒形と拡散プレートの残りの長さの同軸円錐形を持ち、円錐部分の上流端の直径は直円筒部分とほぼ同一であり、円錐部分の下流端の直径は大きくなっている。該ガス分配プレートは、製造が比較的容易であり、チャンバ洗浄速度が良好であり、薄膜堆積均一性が良好であり、薄膜堆積速度が良好である。該ガス分配プレートは、また、拡散表面上のチャンバ洗浄残留物の減少と堆積している薄膜内の洗浄残留物の混入の減少が利点である。
【選択図】 図2A

Description

開示の背景
発明の分野
[0001]本発明の実施形態は、一般的には、ガス分配プレートアセンブリ及びガスを処理チャンバ内で分配するための方法に関する。
背景技術の説明
[0002]液晶ディスプレイ又はフラットパネルは、一般にコンピュータやテレビモニタのような、アクティブマトリクスディスプレイに用いられる。プラズマ増強化学気相堆積(PECVD)は、通常は透明ガラス基板(フラットパネルのための)又は半導体ウエハのような基板上に薄膜を堆積するために用いられる。PECVDは、通常は前駆ガス又はガス混合物をフラットパネルを含む真空チャンバへ導入することによって行われる。前駆ガス又はガス混合物は、典型的にはチャンバの最上部近くに位置する分配プレートを通って下向きに送られる。チャンバ内の前駆ガス又はガス混合物は、高周波(RF)電力をチャンバに結合した1つ以上の高周波電源からチャンバに加えることによってプラズマへ活性化(例えば、励起)される。励起されたガス又はガス混合物は反応して、温度制御された基板支持上に位置するフラットパネルの表面上に材料層を形成する。反応の間に生じた揮発性副生成物は、排気システムによってチャンバからポンプで送られる。
[0003]PECVD技術によって処理されたフラットパネルは、典型的には大面積であり、しばしば370mm×470mmを超え、サイズが1平方メートルに及ぶ。4平方メートルに近い、また、それを超える大面積基板が、近い将来に予想される。一様な処理ガスフローをフラットパネル上に供給するために用いられるガス分配プレートは、特に200mmや300mm半導体ウエハ処理に用いられるガス分配プレートと比較して相対的にサイズが大きい。
[0004]フラットパネル処理に用いられる大面積ガス分配プレートは、製造コストが高くなるという多くの製造課題を有する。例えば、ガス分配プレートを通って形成されるガスフロー穴は、ガス分配プレートの厚さと相対して直径が小さく、例えば、1.2インチの厚板を通る直径0.016インチ穴、穴の形成中に高い頻度でドリルビット破損が生じる。破損したドリルビットの除去は時間が掛かり、結果としてガス分配プレート全てがスクラップとなってしまう。更に、ガス分配プレートを通って形成されるガスフロー穴の数は、フラットパネルのサイズに比例し、各プレートに形成される多くの穴は、プレート製造の間、不都合にも高い確率でトラブルの原因となる。更に、多くの穴数はドリルビット破損を最少限にするために必要とされる管理と相まって長い製造時間を生じ、それによって製造コストが高くなる。
[0005]ガス分配プレートを製造するための材料コストが大きいので、効率的でコストが効果的に製造し得る構造でガス分配プレートを開発することは有利である。更に、1.2平方メートルを超えるフラットパネル処理に適合させるために、次世代ガス分配プレートのサイズが増加するに伴って、前述の問題の解決がますます重要になる。大面積ガス分配プレート設計のコストの関係に取り組むことは重要であるが、性能の本質が見落とされてはならない。例えば、ガスフロー穴の構造、位置、密度は、堆積速度や均一性のような堆積性能、また、プロセスチャンバにおける洗浄効率や残留洗浄化学薬品のような洗浄の本質に直接影響を与える。
[0006]それ故、製造コストを削減し良好な堆積と洗浄性能を有する、改善されたガス分配プレートアセンブリが求められている。
発明の概要
[0007]処理チャンバにガスを分配するためのガス分配プレートの実施形態が提供される。一実施形態においては、プラズマ処理チャンバのガス分配プレートアセンブリは、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路とを備え、ここで、ガス通路の少なくとも1つは、上流側から伸びたその長さの一部の直円筒形と拡散プレートの残りの長さの同軸円錐形を持ち、円筒部分の上流端の直径は直円筒部分とほぼ同一であり、円錐部分の下流端の直径は大きくなっている。
[0008]他の実施形態においては、プラズマ処理チャンバのガス分配プレートアセンブリは、遠隔プラズマ源に結合され遠隔プラズマ源がフッ素源に結合しているプラズマ処理チャンバ内に上流側と下流側がある拡散プレートと、上流側と下流側の間を通る複数のガス通路とを備え、ここで、ガス通路の少なくとも1つは上流側から伸びたその長さの一部の直円筒形と拡散プレートの残りの同軸円錐形を持ち、円錐部分の上流端の直径は直円筒部分とほぼ同一であり、円錐部の下流端の直径は大きくなっている。
[0009]他の実施形態においては、プラズマ処理チャンバのガス分配プレートアセンブリは、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路とを備え、ここで、ガス通路の少なくとも1つは、上流側から伸びたその長さの一部の第一直円筒形、より小さな直径が第一円筒形に接続された第二同軸直円筒形、拡散プレートの残りの長さの第二円筒形に接続された同軸円錐形を持ち、円錐部分の上流端は直径が第二直円筒形とほぼ同一であり、円錐部分の下流端は直径が大きくなっている。
[0010]他の実施形態においては、プラズマ処理チャンバのガス分配プレートアセンブリは、遠隔プラズマ源に結合され遠隔プラズマ源がフッ素源に結合されているプラズマ処理チャンバ内に上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路とを備え、ここで、ガス通路の少なくとも1つは、上流側から伸びたその長さの一部の第一直円筒形、第一円筒形に接続された直径が更に小さい第二同軸直円筒形、拡散プレートの残りの長さの第二円筒形に接続された同軸円錐形を持ち、円錐部分の上流端の直径は第二直円筒形とほぼ同一であり、円錐部分の下流端の直径は大きくなっている。
[0011]他の実施形態においては、基板上に薄膜を堆積させる方法は、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路とを有するプロセスチャンバ内に基板を配置するステップであって、ガス通路の少なくとも1つが、上流側から伸びたその長さの一部の直円筒形と、拡散プレートの残りの長さの同軸円錐形を持ち、円錐部分の上流端の直径が直円筒部分とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、プロセスチャンバ内で基板上に薄膜を堆積させるステップとを含んでいる。
[0012]他の実施形態においては、基板上に薄膜を堆積させる方法は、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路とを有するプロセスチャンバ内に基板を配置するステップであって、ガス通路の少なくとも1つが、上流側から伸びたその長さの一部の第一直円筒形、第一直円筒形に接続された直径がより小さな第二同軸直円筒形、拡散プレートの残りの長さの第二円筒形に接続された同軸円錐形を持ち、円錐部分の上流端の直径が第二直円筒形とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、プロセスチャンバ内で基板上に薄膜を堆積させるステップとを含んでいる。
[0013]他の実施形態においては、プロセスチャンバを洗浄する方法は、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路を有する、遠隔プラズマ源に結合され、遠隔プラズマ源がフッ素源に結合されている、プロセスチャンバ内に基板を配置するステップであって、ガス通路の少なくとも1つは、上流側から伸びたその長さの一部の直円筒形と拡散プレートの残りの長さの同軸円錐形を持ち、円錐部分の上端の直径が直円筒部とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、プロセスチャンバ内で基板上に薄膜を堆積させるステップと、処理された基板の数が所定の洗浄限界に達したかを決定するステップと、処理された基板の数が所定の洗浄限界に達していない場合には、処理された基板の数が所定の洗浄限界に達するまで、プロセスチャンバ内に基板を配置するステップと、基板上に薄膜を堆積させるステップと、処理された基板の数が所定の洗浄限界に達したかを決定するステップを繰り返すステップと、処理された基板の数が所定の洗浄限界に達した場合にはプロセスチャンバを洗浄するステップとを含んでいる。
[0014]他の実施形態においては、更に、プロセスチャンバを洗浄する方法は、上流側と下流側を有する拡散プレートと、上流側と下流側の間を通る複数のガス通路を有する、遠隔プラズマ源に結合され遠隔プラズマ源がフッ素源に結合されているプロセスチャンバ内に基板を配置するステップであって、ガス通路の少なくとも1つが、上流側から伸びたその長さの一部の第一直円筒形、第一円筒形に接続された直径がより小さい第二同軸直円筒形、拡散プレートの残りの長さの第二円筒形に接続された同軸円錐形を持ち、円錐部分の上流端の直径が第二直円筒形とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、プロセスチャンバ内で基板上に薄膜を堆積させるステップと、処理された基板の数が所定の洗浄限界に達したかを決定するステップと、処理された基板の数が所定の洗浄限界に達していない場合には、処理された基板の数が所定の洗浄限界に達するまで、プロセスチャンバ内に基板を配置するステップと、基板上に薄膜を堆積させるステップと、処理された基板の数が所定の洗浄限界に達したかを決定するステップを繰り返すステップと、処理された基板の数が所定の洗浄限界に達した場合にはプロセスチャンバを洗浄するステップと、を含んでいる。
[0015]本発明の教示は、添付した図面と共に下記の詳細な説明を考慮することによって容易に理解され得る。
[0028]理解を容易にするために、可能である場合には同一の符号が図面に共通である同一要素を示すために用いられている。
詳細な説明
[0029]本発明は、一般的には、処理チャンバ内にガスを分配するためのガス分配プレートアセンブリを提供する。本発明は、カリフォルニア州サンタクララ、アプライドマテリアルズ社の子会社であるAKTから入手できるプラズマ増強化学気相堆積システム(PECVD)のような、大面積基板を処理するように構成されたプラズマ増強化学気相堆積システムによって以下に具体的に説明される。しかしながら、本発明が、円形の基板を処理するように構成されたシステムを含む、エッチングシステム、他の化学気相堆積システム、プロセスチャンバ内にガスを分配することが所望されるあらゆる他のシステムのような他のシステム構造に用いられることは理解すべきである。
[0030]図1は、薄膜トランジスタ構造を示す断面略図である。一般的なTFT構造は、図1に示されるスタッガード型(ボトムゲート型)TFT構造を逆さまにしたバックチャネルエッチング(BCE)型である。ゲート誘電体(SiN)、及び固有のアモルファスシリコン薄膜やn+ドープアモルファスシリコン薄膜が同様のPECVDポンプダウン運転で堆積することができることから、BCEプロセスが好ましい。ここに示されるBCEプロセスは、4つのパターン形成マスクしか必要としない。基板101は、可視スペクトルに本質的に光に透明である物質、例えば、ガラス又はクリアプラスチックを含むことができる。その基板は形又は寸法を変えることができる。典型的には、TFT適用の場合、基板は、表面積が約500mm2より大きいガラス基板である。ゲート電極層102は、基板101上に形成される。ゲート電極層102は、TFT内の電荷担体の移動を制御する導電層を含んでいる。ゲート電極層102は、金属、例えば、特に、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)又はその組合わせを含むことができる。ゲート電極層102は、従来の堆積技術、リソグラフィ技術、エッチング技術を用いて形成することができる。基板101とゲート電極層102の間には、例えば、二酸化シリコン(SiO2)又は窒化シリコン(SiN)のような任意の絶縁物質があってもよく、それらは本発明に記載されるPECVDシステムの実施形態を用いて形成することもできる。その後、ゲート電極層102は、リソグラフィ技術でパターン形成され、従来の技術を用いてエッチングされてゲート電極を画成する。
[0031]ゲート絶縁層103は、ゲート電極層102上に形成される。ゲート絶縁層103は、二酸化シリコン(SiO2)、オキシ窒化シリコン(SiON)、又は窒化シリコン(SiN)であってもよく、本発明に記載されるPECVDシステムの実施形態を用いて堆積される。ゲート絶縁層103は、約100オングストローム〜約6000オングストロームの範囲の厚さに形成することができる。
[0032]バルク半導体層104は、ゲート絶縁層103上に形成される。バルク半導体層104は、多結晶シリコン(ポリシリコン)又はアモルファスシリコン(α-Si)を含むことができ、本発明に記載されるPECVDシステムの実施形態又は当該技術に既知の他の従来法を用いて堆積させることができる。バルク半導体層104は、約100オングストローム〜3000オングストロームの範囲の厚さに堆積させることができる。ドープされた半導体層105は、半導体層104の最上部に形成される。ドープされた半導体層105は、n型(n+)又はp型(p+)ドープされた多結晶シリコン(ポリシリコン)又はアモルファスシリコン(α-Si)を含むことができ、本発明に記載されるPECVDシステムの実施形態又は当該技術に既知の他の従来法を用いて堆積させることができる。ドープされた半導体層105は、約100オングストローム〜3000オングストロームの範囲の厚さに堆積させることができる。ドープされた半導体層105の一例は、n+ドープされたα-Si膜である。バルク半導体層104とドープされた半導体層105は、リソグラフィ技術でパターン形成され、従来の技術を用いてエッチングされて、ゲート誘電絶縁体上にメサ型の2つの膜を画成する。ドープされた半導体層105はバルク半導体層104の部分と直接的に接触し、半導体接合を形成する。
[0033]その後、導電層106が露出した表面上に堆積される。導電層106は、金属、例えば、特に、アルミニウム(Al)、タングステン(W)、モリブデン(Mo)、クロム(Cr)、タンタル(Ta)及びその組合わせを含むことができる。導電層106は、従来の堆積技術を用いて形成することができる。導電層106とドープされた半導体層105の双方は、TFTのソースとドレイン接触を画成するためにリソグラフィ技術でパターン形成されてもよい。その後、パッシベーション層107を堆積させることができる。パッシベーション層107は、一致して露出した表面を被覆する。パッシベーション層107は、通常は絶縁体であり、例えば、二酸化シリコン(SiO2)又は窒化シリコン(SiN)を含むことができる。パッシベーション層107は、例えば、PECVD又は当技術に既知の他の従来法を用いて形成されることができる。パッシベーション層107は、約1000オングストローム〜約5000オングストロームの範囲の厚さに堆積させることができる。パッシベーション層107は、その後、リソグラフィ技術でパターン形成され、従来の技術を用いてエッチングされて、パッシベーション層にコンタクトホールを開放させる。
[0034]その後、透明導電層108が堆積されパターン形成されて、コンタクと導電層106が製造される。透明導電層108は、可視スペクトルで本質的に光に透明であり且つ導電性である物質を含んでいる。透明導電層108は、例えば、特に、インジウム酸化スズ(ITO)又は酸化亜鉛を含むことができる。光導電層108のパターン形成は、従来のリソグフィ技術とエッチング技術によって達成される。
[0035]液晶ディスプレイ(又はフラットパネル)に用いられるドープされた又は未ドープ(固有の)アモルファスシリコン(α-Si)、酸化シリコン(SiO2)、オキシ窒化シリコン(SiON)及び窒化シリコン(SiN)膜は、本発明に記載されるプラズマ増強化学気相堆積(PECVD)システムの実施形態を用いて全て堆積させることができる。
[0036]図2Aは、カリフォルニア州サンタクララのアプライドマテリアルズ社の子会社であるAKTから入手できる、プラズマ増強化学気相堆積システム200の一実施形態の断面略図である。システム200は、通常はガス源204の結合された処理チャンバ202を含んでいる。処理チャンバ202は、プロセス容積212を部分的に画成する壁206と底面208を有する。プロセス容積212は、典型的には処理チャンバ202へ、また、処理チャンバ202から基板240の移動を容易にする壁206のポート(図示せず)を通って接近する。壁206と底面208は、典型的にはアルミニウム又は処理と適合する他の物質の単一ブロックから製造される。壁206は、プロセス容積212を排気ポート(様々なポンピング部品を含む、図示せず)に結合するポンピングプレナム214を含むリッドアセンブリ210を支持している。
[0037]温度制御された基板支持アセンブリ238は、処理チャンバ202内の中央に配置される。支持アセンブリ238は、処理の間、基板240を支持する。一実施形態においては、基板支持アセンブリ238は、少なくとも1つの埋め込みヒータ232を封入するアルミニウム本体224を含んでいる。支持アセンブリ238に配置される抵抗素子のヒータ232は、任意の電源274に結合され、配置された支持アセンブリ238と基板240を所定の温度に制御可能に加熱する。CVDプロセスにおいては、典型的には、ヒータ232は、堆積される物質の堆積処理パラメータに依存して、約150℃〜少なくとも約460℃の間にある一様な温度に基板240を維持する。
[0038]通常は、支持アセンブリ238は下部面226及び上部面234を有する。上部面234は、基板240を支持する。下部面226は、ステム242がそれに結合されている。ステム242は、処理チャンバから、また、処理チャンバへの基板輸送を容易にする、高い処理位置(図示された)と低い処理位置の間の支持アセンブリ238を移動させるリフトシステム(図示せず)に支持アセンブリ238を結合する。ステム242は更に支持アセンブリ238とシステム200の他のコンポーネントの間にリード線と熱電対リードのコンジットを与える。
[0039]ベローズ246は、支持アセンブリ238(又はステム242)と処理チャンバ202の底面208の間に結合している。ベローズ246は、支持アセンブリ238の垂直移動を容易にしつつ、チャンバ容積212と処理チャンバ202の外部の雰囲気の間に真空シールを与える。
[0040]支持アセンブリ238は通常は接地され、電源222によってリッドアセンブリ210と基板支持アセンブリ238の間に位置するガス分配プレートアセンブリ218(又はチャンバのリッドアセンブリ内に又は近くに位置する他の電極)に供給されるRF電力が、支持アセンブリ238と分配プレートアセンブリ218との間のプロセス容積212内に存在するガスを励起することができる。電源222からのRF電力は、通常は化学気相堆積プロセスを作動させるために基板サイズに比例して選択される。
[0041]支持アセンブリ238は、更に、制限シャドーフレーム248を支持する。通常は、シャドーフレーム248は、基板240と支持アセンブリ238のエッジでの堆積を防止するので、基板は支持アセンブリ238に付着していない。支持アセンブリ238は、複数のリフトピン250を受け入れるそこを通って配置されるた複数の穴228を有する。リフトピン250は、典型的にはセラミック又は陽極酸化アルミニウムから構成されている。リフトピン250は、支持表面230から突き出すために任意のリフトプレート254によって支持アセンブリ238と相対して作動させることができ、それによって支持アセンブリ238と離れた間隔で基板が配置される。
[0042]リッドアセンブリ210は、プロセス容積212に上部境界線を与える。リッドアセンブリ210は、典型的には、処理チャンバ202を点検するために取り出し又は開放することができる。一実施形態においては、リッドアセンブリ210はアルミニウム(Al)から製造される。リッドアセンブリ210は、外部ポンピングシステム(図示せず)に結合されたその中に形成されたポンピングプレナム214を含んでいる。ポンピングプレナム214は、チャネルガスとプロセス容積212や処理チャンバ202から一様な処理副生成物に用いられる。
[0043]リッドアセンブリ210は、典型的には、エントリポート280を含み、そこを通してガス源204によって供給される処理ガスが処理チャンバ202へ導入される。エントリポート280は、また、洗浄源282に結合される。洗浄源282は、典型的には、解離フッ素のような洗浄物質を供給し、ガス分配プレートアセンブリ218を含む処理チャンバハードウェアから堆積副産物や膜を除去するために処理チャンバ202内に導入される。
[0044]ガス分配プレートアセンブリ218は、リッドアセンブリ210の内部面220に結合される。ガス分配プレートアセンブリ218は、典型的には、基板240の輪郭、例えば、大面積フラットパネル基板の多角形やウエハの円形にほぼ従って構成される。ガス分配プレートアセンブリ218は貫通領域216を含み、ガス源204から供給されるプロセスと他のガスはプロセス容積212に分配される。ガス分配プレートアセンブリ218の貫通領域216は、処理チャンバ202へガス分配プレートアセンブリ218を通過するガスを一様に分配するように構成される。本発明からの利益に適合することができるガス分配プレートは、Kellerらによる米国特許第6,772,827号として発行された2001年8月8日出願の共同譲渡された米国特許出願第09/922,219号;Bloniganらによる2002年5月6日出願の同第10/140,324号;2003年1月7日出願の同第10/337,483号;Whiteらに2002年11月12日に発行された米国特許第6,477,980号;Choiらによる2003年4月16日出願の米国特許出願第10/417,592号に記載され、これらの開示内容は本明細書に全体に援用されている。
[0045]ガス分配プレートアセンブリ218は、典型的には、ハンガープレート260から掛けられた拡散プレート258を含んでいる。拡散プレート258とハンガープレート260は、交互に単一のユニタリー部材を含むことができる。複数のガス通路262は、拡散プレート258を通って形成されて、ガス拡散プレートアセンブリ218を通ってプロセス容積212へ所定のガス分配を可能にする。ハンガープレート260は、拡散プレート258とリッドアセンブリ210の内部表面220を離れた間隔で維持するので、その間にプレナム264を画成する。プレナム264はリッドアセンブリ210に流れるガスを拡散プレート258の幅全体に一様に拡散させることができるので、ガスは貫通領域216の中央の上に一様に供給され、ガス通路262を通って一様な拡散で流れる。
[0046]拡散プレート258は、典型的には、ステンレス鋼、アルミニウム(Al)、陽極酸化アルミニウム、ニッケル(Ni)又は他のRF導電材料から製造される。拡散プレート258は、基板処理に不都合な影響を与えないので、アパーチャ266全体に十分平坦に維持する厚さで構成される。一実施形態においては、拡散プレート258の厚さは、約1.0インチ〜約2.0インチである。拡散プレート258は、半導体ウエハ製造用に円形、又はフラットパネルディスプレイ製造用に多角形、例えば、矩形であり得る。図2Bは、幅290が約30インチで長さ292が約36インチの矩形であるフラットパネルディスプレイ適用の拡散プレート258を示す一例である。拡散穴、拡散穴の間隔、拡散プレートのサイズは、図2Bでは一定の比率の縮尺で描かれていない。
[0047]図3は、2003年1月7日出願の、“チューナブルガス分配プレートアセンブリ”と称する共同譲渡された米国特許出願第10/227,483号に記載される、拡散プレート258の部分断面図である。例えば、1080平方インチ(例えば、30インチ×36インチ)拡散プレートである拡散プレート258は、約16,000個のガス通路262を含んでいる。より大きなフラットパネルを処理するために用いられるより大きな拡散プレートの場合、ガス通路262の数は100,000個程度であり得る。ガス通路262は、拡散プレート258より下に位置する基板240上に物質の一様な堆積を促進させるために通常はパターン形成される。図3を参照すると、一実施形態においては、ガス通路262は制限部分302、フレアコネクタ303、中央通路304、フレア開口部306から構成される。制限部分302は、拡散プレート258の第一側318から通り、中央通路304に結合される。中央通路304の直径は、制限部分302より大きい。制限部分302の直径は、貫通中央部分310全体に半径方向に一様なガス分配を確実にするために十分なフロー抵抗を与えつつ、拡散プレート258を通る十分なガスフローを可能にするように選択される。例えば、制限部分302の直径は、約0.016インチであり得る。フレアコネクタ303は、制限部分302を中央通路304に接続している。フレア開口部306は、中央通路304に結合され、直径は中央通路304から拡散プレート258の第二側320において外向きに半径方向に細くなっている。フレア開口部306は、処理部分212へ流れるプロセスガスのプラズマイオン化を促進させる。更に、フレア開口部306は、プラズマ放電を高める中空カソード効果のために表面積が大きい。
[0048]前述のように、フラットパネル処理に用いられる大面積ガス拡散プレートは、製造コストが高くなるという多くの製造課題を有する。図3での4アパーチャ拡散プレート設計の製造コストは、各々のガス通路262と多くのガス通路262、例えば、30インチ×36インチ(又は1080平方インチ)の拡散プレートの約16,000個を作るために制限部分302、フレアコネクタ303、中央通路304、フレア開口部をドリルで開ける4つのドリルステップを必要とするので比較的高い。
[0049]図4Aは、本発明の拡散プレート258の部分断面図である。拡散プレート258は、30インチ×36インチ(又は1080平方インチ)の拡散プレートで約12,000個のガス通路262を含んでいる。ガス通路262は、通常は、拡散プレート258の下に位置する基板240上に物質の一様な堆積を促進させるためパターン形成される。図4Aを参照すると、一実施形態においては、ガス通路262は、制限部分402と円錐開口部406から構成されている。制限部分402は、拡散プレート258の第一側418から通り、円錐開口部406に結合される。制限部分402は、直径が約0.030インチ〜約0.070インチであり、貫通中央部分410全体に半径方向に一様なガス拡散を確実にするために十分なフロー抵抗を与えつつ、拡散プレート258を通って十分なガスフローを可能にするために選ばれる。拡散プレート258の第一側418上の拡散穴の制限部分のエッジは、円形であり得る。円錐開口部406は、制限部分402に結合され、制限部分402から拡散プレート258の第二側420に外向きに半径方向に張り出している。円錐開口部406は、直径が拡散プレート258の第二側420上に約0.2インチ〜約0.4インチである。第二側420は、基板の表面と向かい合っている。円錐開口部406のフレア角416は、約20度〜約35度である。
[0050]ガス通路262に隣接したフレアエッジ間の空間は、可能な限り小さく保つべきである。フレアエッジは円形であり得る。空間の一例は0.05インチである。隣接したガス通路262のフレアエッジ間の最大空間は、約0.5インチである。制限部分402によって与えられる全体の制限は、拡散プレート258の上流の背圧に直接影響を与え、それに応じて洗浄の間に用いられる解離したフッ素の再結合を防止するように構成されなければならない。制限部分402の長さ(411)と円錐開口部406の長さ(412)の比率は、約0.8〜約2.0である。長さ411と長さ412の総和と等しい拡散プレートの全体の厚さは、約0.8インチ〜約1.6インチである。円錐開口部406は、処理領域212へ流れるプロセスガスのプラズマイオン化を促進させる。4アパーチャガス通路設計の一例は、制限部分402の直径が0.042インチ、制限部分402の長さが0.0565インチ、拡散プレート258の第二側420上の円錐開口部の直径が0.302インチ、円錐開口部分の長さが0.0635インチ、フレア角416が22°である。例示的な拡散プレートの全ての厚さは、1.2インチである。
[0051]図4Bは、六角形の稠密ガス拡散プレート258を示す例示的断面図である。穴450(又は前記ガス通路262)は、面心ヘキサゴン460のパターンで配列されている。拡散穴のサイズ、及び拡散穴の間隔は、図4Bでは一定の比率の縮尺で描かれていない。しかしながら、同心円のような、ガス通路262配置(又は穴450)の他のパターンも使用し得る。
[0052]図4Cは、図4Aに示される設計に代替的設計を示す。制限部分402及び円錐開口部406を機械加工する製造プロセス中、フレア結合部分405は、部分402と円錐開口部406をドリルで開ける間に残ったバーを処理する(又は取り除く)異なるドリルを用いることによって作り得る。この結合部分405の追加を別にして、図4Cの設計特性の残りは図4Aの設計特性と同様である。
[0053]図3における4アパーチャ設計と図4Aにおける漏斗状設計を比較すると、漏斗状設計の拡散プレートは、4アパーチャ設計の拡散プレートより製造するのが容易である。図4Aの漏斗状設計は、制限部分402と円錐部分406を含む2つの部分をドリルで開けるを必要とするため、図3の4アパーチャ設計は、制限部分302、フレアコネクタ303、中央通路304、フレア開口部306の4つ部分をドリルで開けることを必要とする。製造設計仕様に合わせて2つの部分をドリルで開けることは、製造設計仕様に合わせて4つの領域をドリルで開けることよりかなり容易である。図4Aの漏斗状設計は、更に、穴の全体数が少ないために図3の4アパーチャ設計より製造歩留まりが高い。例えば、1080平方インチ(例えば、30インチ×36インチ)の拡散プレートの場合、漏斗状設計は穴が約12,000個であるが4アパーチャ設計は穴が約16,000個である。漏斗状設計の拡散プレートの穴は、4アパーチャ設計の拡散プレートより穴が約30%少ない。更に、図4Aの漏斗状設計は、小さな制限部分302(例えば、0.016インチ)と比較してより大きな制限部分402(例えば、0.040インチと0.055インチ)から破損ドリルビットの取り出しが比較的容易であるために、図3の4アパーチャ設計よりパーティクル問題が少ない。
[0054]製造歩留まりが高いこととパーティクル問題が少ないことに加えて、漏斗状設計のプロセス容積212に晒される拡散プレート258の全表面積は、4アパーチャ設計より小さく、洗浄プロセスから拡散プレート(又はシャワーヘッド)上の残留フッ素の量が減少する。残留フッ素の減少は、堆積プロセスの間、膜のフッ素混入を著しく減少し得る。SiO2、SiON又はSiNのようなゲート誘電(絶縁)膜におけるフッ素の混入は、Vt(閾値電圧)シフトやIon(駆動電流)低下のような、薄膜トランジスタ(TFT)デバイス性能を低下させる欠陥の中心を生じる。SiO2、SiON又はSiNのようなゲート誘電膜の不純物の混入が1×1020原子/cm3を超える場合、TFTデバイス性能が厳しい影響を受け得ることが分かった。その上、4アパーチャ設計は、洗浄ガスがガス分配プレートを流れ込むときに、背圧が高くなる。プレートを洗浄するために用いられる解離フッ素は、背圧が高い場合、再結合する傾向が大きく、不都合にも洗浄効果を低下させる。
[0055]膜堆積チャンバは、プロセスチャンバ内のパーティクル問題を生じる剥離してしまうチャンバ表面に沿った膜の蓄積を減少させるために定期的な洗浄が必要である。洗浄プロセスの一例は、遠隔プラズマ源(RPS)洗浄であり、これは洗浄するフッ素含有ガス、例えば、NF3、SF6、F2、C26、C36又はC48等から生成されるフッ素含有プラズマを用いるものである。洗浄ステップ後、パージガスが残留フッ素をパージするために用いられる。しかしながら、ある残留フッ素化学種は、チャンバや拡散プレート表面領域上に残ることがある。図5における黒い線(501)は、プロセス容積212に晒された漏斗状設計の拡散表面を示している。表1は、2つの漏斗状設計(制限部分の直径が0.040インチと0.055インチ)と4アパーチャ設計の露出した全表面積を比較したものである。漏斗状設計双方のフレア端の直径は、0.302インチであり、フレア角は22°である。漏斗状設計双方の制限部分402の長さは0.565インチであり、両設計のフレア開口部406の長さは0.635インチである。4アパーチャ設計については、制限部分302の直径は0.016インチ、中央通路304の直径は0.156インチ、フレア開口部306の大きな直径は0.25インチ、そしてフレア角は22°、制限部分の長さは0.046インチ、フレアコネクタ303の長さは0.032インチ、中央通路304の長さは0.88インチ、フレア開口部306の長さは0.242インチである。4アパーチャ設計は、拡散穴の数及び全拡散表面積は最大である。0.040インチと0.055インチ両漏斗状設計は、露出した全拡散表面積が比較的稠密であり、4アパーチャ設計の露出した膳拡散表面積のほぼ半分である。
Figure 2005317958
[0056]図6は、ガス拡散プレートを有するプロセスチャンバにおいて基板上に薄膜を堆積し、洗浄が必要であるときプロセスチャンバを洗浄するプロセスフロー600の一例を示している。そのプロセスは、ステップ601から始まり、拡散プレートを有するプロセスチャンバ内に基板を配置するステップ602が続く。ステップ603は、プロセスチャンバ内の基板上に薄膜を堆積するステップを記載している。ステップ603後、ステップ604で処理された基板の数が、所定の洗浄限界に達しているかをそのシステムが決定する。所定の洗浄限界は、ステップ606で1枚の基板又は1枚を超える基板であり得る。洗浄限界が達していなかった場合には、処理シーケンスは他の基板をプロセスチャンバ内に配置するステップ602に戻る。洗浄限界が所定の洗浄限界に達した場合には、プロセスシーケンスはプロセスチャンバを洗浄するステップ605に進む。ステップ605のチャンバ洗浄の後、処理された全基板の数が所定の限界に到達しているかをそのシステムが決定する。洗浄限界に達していなかった場合には、プロセスシーケンスは、堆積プロセスを開始するステップ601に戻る。洗浄限界が所定の限界に達している場合には、堆積プロセスはステップ607で終わる。プロセスフロー600は、概念を示すための一例として用いられにすぎない。本発明は、他のプロセスステップ又はシーケンスを含むプロセスフローを適用することができ、堆積及び洗浄の一般概念に適合し得る。
[0057]図7は、2つの設計の拡散プレートで堆積された、SiN膜を含む膜堆積部のフッ素含量の二次イオン質量分析(SIMS)による解析結果を示す図である。分析された膜堆積部は、ガラス基板上に約500オングストロームのリンドープされた(n+)アモルファスシリコン膜、約2200オングストロームのアモルファスシリコン膜、続いて約4500オングストロームの窒化シリコン膜を含んでいる。アモルファスシリコンと窒化シリコンの膜は、同様のPECVDチャンバで同様の拡散プレート(又はシャワーヘッド)で順次堆積した。曲線701は、SiN膜(1×1018原子/cm3未満)中の0.055インチの漏斗状設計のフッ素含量が4アパーチャ設計の拡散プレートで処理された膜(曲線702、約5×1019原子/cm3)より一桁を超える程度少ない。漏斗状設計から得られた低いフッ素濃度は、おそらく4アパーチャ設計に比較してプロセス容積212に晒された拡散プレート258の全表面積が小さいことによる。
[0058]チャンバ洗浄は、フッ素含有ガス、例えば、NF3、SF6、F2、C26、C36又はC48O等から生成されるフッ素ラジカル(F*)を用いる遠隔プラズマ源(RPS)によって達成される。フッ素含有ガス(又は複数のガス)は、プラズマの維持を援助するためにアルゴン(AR)のような、不活性ガスによって希釈し得る。しかしながら、不活性ガスは任意である。通常は、洗浄プロセスは、約0slm〜約6slmで流される不活性ガス、約1slm〜約6slmで流されるフッ素含有ガスで行われ、遠隔プラズマ発生装置の圧力は0.5Torr〜20Torrに維持される。等式(1)は、洗浄ガスとしてNF3を用いた例を示している:
Figure 2005317958
[0059]フッ素ラジカル(F*)は、また、フッ素ガス(F2)を形成するために再結合し得るが、それはSiN膜にフッ素ラジカル(F*)と同様の洗浄効果を持たない。アモルファスシリコンはF2熱処理によって洗浄し得るので、フッ素ラジカル再結合による洗浄効率の低下は、アモルファスシリコン膜の洗浄よりSiN膜の洗浄の方が強い。等式(2)は、フッ素ラジカル再結合の反応を示している。
Figure 2005317958
フッ素ラジカルは、反応チャンバに到達する前に再結合し得る。いかなる理論によっても縛られたくないが、特許請求の範囲に明白に示されない限り、拡散器のより狭い通路やプレナム264内のより高い背圧は、プロセス容積212に入る前にフッ素ラジカル再結合を高めることができ、洗浄効率を減少させ得る。
[0060]表2は、表2と表3に言及される3種の設計について同一条件下でPECVDチャンバ内で堆積されたSiN膜とα-Si膜に関する遠隔プラズマ源の洗浄速度を比較したものである。遠隔プラズマ源の洗浄化学種は、6Torrで維持されたASTeX遠隔プラズマ源(RPS)発生装置へ4slmのArと4slmのNF3を流すことによって生成される。ASTeX遠隔プラズマ源発生装置は、マサチューセッツ州ウィルミントンのMKSインスツルメント社製である。
Figure 2005317958
[0061]0.055インチ漏斗状拡散器は、洗浄性能が最良であり、続いて4アパーチャ設計であり、0.040インチ漏斗状のものが続いている。その結果は、4アパーチャと0.040インチ漏斗状設計のものと比較して、0.055インチ漏斗状拡散器の背圧が低く拡散路が制限されないことによるらしく、結果として少ないF*再結合が少なく、洗浄効率が高い。
[0062]表3は、Arフローが4slm、NF3が0−4slmであるとき、RPSプラズマがオンの状態とオフの状態の双方についてRPS洗浄プロセスの背圧(Pb)を示しめすものである。
Figure 2005317958
[0063]0.055インチ漏斗状拡散器は、背圧が最低であり、F*再結合が最少であり、SiN膜洗浄速度が最高である。しかしながら、4アパーチャ設計の背圧は0.040インチ漏斗設計の背圧より高く、それにもかかわらず4アパーチャ設計の洗浄速度は0.040インチ漏斗設計より高い。これは、圧力差だけによる再結合が洗浄速度の結果を説明しないことを示している。拡散器における再結合も、重要な役割を果たしている。
[0064]表4は、4アパーチャと0.040インチ漏斗状設計の拡散通路の最も狭い直径、長さ、容積を比較している。0.040インチ漏斗状設計の通路容積は、4アパーチャ設計と比較して大きい。より大きな通路容積は、狭い拡散通路より追加のフッ素ラジカル再結合を可能にし、洗浄速度の結果に影響する。
Figure 2005317958
[0065]洗浄速度は、また、洗浄ガス(例えば、NF3)解離効率に左右される。表5は、RPS洗浄プロセスによって3種の設計のチャンバ圧データ(プロセス容積212における)を示している。3種全ての拡散器設計についてのチャンバ圧は、全て似た範囲にある。
Figure 2005317958
[0066]NF3解離効率は、プラズマがオンであるときの正味圧力増加とプラズマがオフであるときの正味圧力増加の比率に直接比例している。表6は、4アパーチャ、0.055インチ漏斗設計、0.040インチ漏斗設計について、プラズマがオンのときの正味圧力増加とプラズマがオフのときの正味圧力増加の比率を示している。ΔPcplasma-onは、プラズマがオンのときのある一定のNF3フロー下のチャンバ圧とゼロNF3フロー下のチャンバ圧間の圧力差である。同様に、ΔPcplasma-offは、プラズマがオフのときのある一定のNF3フロー下のチャンバ圧とゼロNF3無フロー下の背圧間の圧力差である。ΔPcplasma-offを超えるΔPcplasma-onの比率は、NF3解離効率を定量化するものである。解離効率は、NF3フロー速度の増加に伴い低下する。解離効率は、0.055インチ漏斗設計が最高であり、続いて4アパーチャ設計、次に0.040インチ漏斗設計である。NF3解離効率データは、洗浄速度データと相関している。
Figure 2005317958
[0067]洗浄効率に加えて、堆積性能に関する拡散器設計の影響は、堆積性能が要求を満たしていることを確実にするために調べなければならない。表7は、3種の拡散器設計に同一のプロセス条件下で異なる拡散器設計を用いたSiNとα-Si堆積均一性と堆積速度を比較している。SiN膜は、1.5Torr、供給電力3050ワットで、600sccmのSiH4、2660sccmのNH3、6660sccmのN2を用いて堆積される。拡散プレートと支持アセンブリ間の間隔は、1.09インチである。処理温度は、約355℃に維持される。α-Si膜は、3.0Torr、供給電力950ワット下で、1170sccmのSiH4、4080sccmのH2を用いて堆積される。拡散プレート及び支持アセンブリ間の間隔は1.09インチである。処理温度は、355℃に維持される。
Figure 2005317958
[0068]結果は、3種の設計の堆積速度と均一性が比較的匹敵していることを示している。堆積速度は、3種の設計についてほぼ同一である。0.055インチ漏斗状設計の均一性は、4アパーチャ設計より悪い。しかしながら、均一性は制限部分402(0.040インチと0.055インチ)の直径を狭くすることにより改善することができる。0.040インチ漏斗状設計の均一性(3.2%と4.4%)は0.055インチ漏斗状設計(4.3%と4.5%)より良好である。SiN膜の場合、0.040インチ漏斗状設計(3.2%)は、4アパーチャ設計(3.8%)より更に良好である。他の膜特性、例えば、膜応力、屈折率、ウェットエッチング速度は、3種の設計について同等である。結果は、膜の均一性が拡散器設計によって影響され、制限部分の直径を調整することによって合わせ得ることを示している。また、結果は、漏斗状設計が4アパーチャ設計と同一の堆積特性、例えば、均一性、堆積速度、膜応力、屈折率、ウェットエッチング速度を達成し得ること示している。
[0069]拡散器設計に加えて、プロセス圧は堆積速度と均一性にも影響し得る。表8は、0.055インチ漏斗状設計拡散器の均一性と堆積速度に対するプロセス圧(又はチャンバ圧)の影響を示している。低いチャンバ圧は、良好な均一性と低い堆積速度を示している。
Figure 2005317958
[0070]漏斗状設計拡散プレートは、4アパーチャ設計拡散プレートと比較して製造が容易である。従って、漏斗状設計拡散プレートの歩留まりと製造コストが改善される。製造の容易さに加えて、漏斗状設計拡散プレートは、RPS洗浄後の拡散プレート上に残留フッ素が少ないという利点もある。これにより、ゲート誘電膜へのフッ素混入が少なくなり、デバイス性能が改善する結果となる。漏斗状設計は、選ばれる制限部分402の直径によっては、4アパーチャ設計と比べて、洗浄速度と効率が良好か又は同等であり得る。漏斗状設計は、4アパーチャ設計と同等の堆積速度と均一性の性能を持ち得る。
[0071]表面積の大きいフラットパネルディスプレイの場合、最上部の表面積の大きい拡散プレート258が必要である。最上部の表面積の増加に伴い、拡散プレート258の厚さは、拡散プレートを支持する際の強度を維持するために増加し得る。図8Aは、より厚い拡散プレートについて図4Aの漏斗状設計の変更を示す図である。図8Aの対応する設計特性全てが、図4Aと同一である。制限部分802、フレア領域806、フレア角816を設計するために用いられる指針は、図4Aの制限部分402、円錐開口部406、フレア角416を設計するために用いられた指針と各々同様である。フレア部分806の現在好ましい構造は、図8Aに示される円錐断面である。しかしながら、放物線断面や凸面断面を含む他の構造が、同様に使用し得る。図8Aと図4Aの間の差は、図8Aが長さ801だけ厚いことである。より大きな直径領域804は、拡散プレート258の第一側818と制限部分802との間に作られ得る。より大きな直径部分804は、フレアコネクタ803によって制限部分802に接続される。制限部分802とより大きな直径部分804を機械加工する製造プロセス中、フレア接続部分803は、部分802と804をドリルで開ける間に残ったバーを処理する(又は除去する)ために異なるドリルを用いることによって作られる。より大きな直径部分804は、直径が制限部分802より大きいので、製造時間をわずか増加させるだけであり、製造歩留まりに影響しない。より大きな直径部分804の直径は、より大きな直径部分の追加が、図4Aの漏斗状設計に比べて、処理の間の背圧とチャンバ圧を変化させないことを確実にするために制限部分802の直径を少なくとも2倍にしなければならない。このため、図8Aの設計を用いた堆積プロセスと堆積された膜の特質は、図4Aの漏斗状設計による堆積プロセスと堆積された膜の特質に類似している。より大きな直径部分804の直径は、約0.06インチ〜約0.3インチである。拡散プレート258の第一側818上の拡散穴のより大きな直径部分804のエッジは、丸くしてもよい。より大きな直径部分の長さ801と制限部分802の長さ811の比率は、約0.3〜約1.5の間にしなければならない。長さ801、長さ811、長さ812の総和と等しい拡散プレート全体の厚さは、約1.0〜約2.2インチである。
[0072]図8Bは、図8Aに示される設計の代替的設計を示している。制限部分802とフレア部分806を機械加工する製造プロセスの間、フレア接続部分805は、部分802と806をドリルで開ける間に残ったバーを処理する(又は除去する)ために異なるドリルを用いることによって作られ得る。この接続部分805の追加を別にして、図8Bの設計特性の残りは、図8Aの設計特性と同一である。
[0073]本発明の教示を組込んでいるいくつかの好適実施形態を詳細に図示し説明してきたが、当業者はこれらの技術をなお組込んでいる他の多くの変更した実施形態を講じることができる。
図1は、ボトムゲート型薄膜トランジスタの断面略図である。 図2Aは、本発明のガス分配プレートアセンブリの一実施形態を有する例示的処理チャンバの断面略図である。 図2Bは、本発明のガス拡散プレートの実施形態を示す底面図である。 図3は、ガス拡散プレートを示す断面略図である。 図4Aは、本発明のガス拡散プレートの実施形態を示す断面略図である。 図4Bは、本発明のガス拡散プレートの例示的実施形態を示す断面の正面図である。 図4Cは、図4Aのガス拡散プレート設計の変更を示す断面略図である。 図5は、プロセス容積に晒された拡散表面を示す図である。 図6は、ガス拡散プレートを有するプロセスチャンバ内で基板上の薄膜を堆積させるとともにプロセスチャンバを洗浄するプロセスフローを示す図である。 図7は、図3及び図4Aの設計のSiN膜のフッ素含量の二次イオン質量分析(SIMS)の解析結果を示す図である。 図8Aは、より厚い拡散プレートの図4Aのガス拡散プレート設計の変更を示す断面略図である。 図8Bは、図8Aのガス拡散プレート設計の他の変更を示す断面略図である。
符号の説明
101…基板、102…ゲート電極層、103…ゲート誘電層、104…半導体層、105…ドープされた半導体層、106…導電層、107…不動態化層、108…透明導体層、200…プラズマ増強CVDシステム、202…処理チャンバ、204…ガス源、206…壁、208…底面、210…リッドアセンブリ、212…プロセス容積、214…ポンピングプレナム、216…貫通領域、218…ガス分配プレートアセンブリ、220…内部面、222…電源、224…アルミニウム本体、226…下部面、228…穴、230…支持面、232…埋め込みヒータ、234…上部面、238…基板支持アセンブリ、240…基板、242…ステム、246…ベローズ、248…シャドーフレーム、250…リフトピン、254…任意のリフトプレート、258…拡散プレート、260…ハンガプレート、262…ガス通路、264…プレナム、266…アパーチャ、274…電源、280…エントリポート、282…洗浄源、290…幅、292…長さ、302…制限部分、303…フレアコネクタ、304…中央通路、306…フレア開口部、310…貫通中央部分、318…第一側、320…第二側、402…制限部分、405…フレア接続部分、406…円錐開口部、410…貫通中央部分、411…長さ、412…長さ、416…フレア角、418…第一側、420…第二側、450…穴、460…ヘキサゴン、501…黒い線、600…プロセスフロー、601…ステップ、602…ステップ、603…ステップ、604…ステップ、606…ステップ、607…ステップ、701…曲線、702…曲線、801…長さ、802…制限部分、803…フレアコネクタ、804…部分、805…フレア接続部分、806…フレア部分、811…長さ、812…長さ、816…フレア角、818…第一側。

Claims (80)

  1. 上流側と下流側を有する拡散プレートと、
    該上流側と該下流側の間を通る複数のガス通路と、
    を備えるプラズマ処理チャンバのためのガス分配プレートアセンブリであって、該ガス通路の少なくとも1つが、該上流側から伸びたその長さの一部の円筒形と該拡散プレートの残りの長さの同軸円錐形を持ち、その円錐部分の上流端の直径が円筒部分とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ガス分配プレート。
  2. 該円筒形の直径が約0.030インチ〜約0.070インチである、請求項1記載のガス分配プレート。
  3. 該円錐部分の該下流端の直径が約0.2インチ〜約0.4インチである、請求項1記載のガス分配プレート。
  4. 該円錐形が約20°〜約35°に張り出している、請求項3記載のガス分配プレート。
  5. 該円筒形の長さと該円錐形の長さとの比率が約0.8〜約2.0である、請求項1記載のガス分配プレート。
  6. 隣接したガス通路の該円錐部分の該下流端間の間隔が最大でも約0.5インチである、請求項1記載のガス分配プレート。
  7. 該拡散プレートの厚さが約0.8インチ〜約1.6インチである、請求項1記載のガス分配プレート。
  8. 該拡散プレートが多角形である、請求項1記載のガス分配プレート。
  9. 該拡散プレートを通って形成された該円筒形が、その同軸フレア形と異なるフロー制限特性を有する、請求項1記載のガス分配プレート。
  10. 該拡散プレートが矩形である、請求項8記載の多角形のガス分配プレート。
  11. 該ガス分配プレートのサイズが少なくとも1080平方インチである、請求項10記載の矩形の分配プレート。
  12. 遠隔プラズマ源に結合され該遠隔プラズマ源がフッ素源に結合しているプラズマプロセスチャンバ内に上流側と下流側を有する拡散プレートと、
    該上流側と該下流側の間を通る複数のガス通路と、
    を備えるプラズマ処理チャンバのためのガス分配プレートアセンブリであって、該ガス通路の少なくとも1つが、該上流側から伸びたその長さの一部の円筒形と該拡散プレートの残りの同軸円錐形を持ち、その円錐部分の上流端の直径が円筒部分とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ガス分配プレート。
  13. 該円筒形の直径が約0.030インチ〜約0.070インチである、請求項12記載のガス分配プレート。
  14. 該円錐部分の該下流端の直径が約0.2インチ〜約0.4インチである、請求項12記載のガス分配プレート。
  15. 該円錐形が約20°〜約35°に張り出している、請求項14記載のガス分配プレート。
  16. 該円筒形の長さと該円錐形の長さとの比率が約0.8〜約2.0である、請求項12記載のガス分配プレート。
  17. 隣接したガス通路の該円錐部分の該下流端間の間隔が最大でも約0.5インチである、請求項12記載のガス分配プレート。
  18. 該拡散プレートの厚さが約0.8インチ〜約1.6インチである、請求項12記載のガス分配プレート。
  19. 該拡散プレートが多角形である、請求項12記載のガス分配プレート。
  20. 該拡散プレートを通って形成された該円筒形が、その同軸フレア形と異なるフロー制限特性を有する、請求項12記載のガス分配プレート。
  21. 該拡散プレートが矩形である、請求項19記載の多角形のガス分配プレート。
  22. 該ガス分配プレートのサイズが少なくとも1080平方インチである、請求項21記載の矩形の分配プレート。
  23. 上流側と下流側を有する拡散プレートと、
    該上流側と該下流側の間を通る複数のガス通路と、
    を備えるプラズマ処理チャンバのためのガス分配プレートアセンブリであって、該ガス通路の少なくとも1つは、該上流側から伸びたその長さの一部の第一円筒形、第一円筒形に接続された直径がより小さな第二同軸円筒形、該拡散プレートの残りの長さの該第二円筒形に接続された同軸円錐形を持ち、その円錐部分の上流端の直径が該第二円筒形とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ガス分配プレート。
  24. 該第一円筒形の直径が約0.06インチ〜約0.3インチである、請求項23記載のガス分配プレート。
  25. 該第二円筒形の直径が約0.030インチ〜約0.070インチである、請求項23記載のガス分配プレート。
  26. 該第一円筒形の長さと該第二円筒形の長さとの比率が約0.3〜約1.5である、請求項23記載のガス分配プレート。
  27. 該円錐部分の該下流端の直径が約0.2インチ〜約0.4インチである、請求項23記載のガス分配プレート。
  28. 該円錐形が約20°〜約35°に張り出している、請求項27記載のガス分配プレート。
  29. 該第二円筒形の長さと該円錐形の長さとの比率が約0.8〜約2.0である、請求項23記載のガス分配プレート。
  30. 隣接したガス通路の該円錐部分の該下流端間の間隔が最大でも約0.5インチである、請求項23記載のガス分配プレート。
  31. 該拡散プレートの厚さが約1.0インチ〜約2.2インチである、請求項23記載のガス分配プレート。
  32. 該拡散プレートが多角形である、請求項23記載のガス分配プレート。
  33. 該拡散プレートを通って形成された該円筒形が、その同軸フレア形と異なるフロー制限特性を有する、請求項23記載のガス分配プレート。
  34. 該拡散プレートが矩形である、請求項32記載の多角形のガス分配プレート。
  35. 該ガス拡散プレートのサイズが少なくとも1080平方インチである、請求項34記載の矩形の分配プレート。
  36. 遠隔プラズマ源に結合され該遠隔プラズマ源がフッ素源に結合されているプラズマプロセスチャンバ内に上流側と下流側を有する拡散プレートと、
    該上流側と該下流側の間を通る複数のガス通路と、
    を備えるプラズマ処理チャンバのためのガス分配プレートアセンブリであって、該ガス通路の少なくとも1つが、該上流側から伸びたその長さの一部の第一円筒形、該第一円筒形に接続された直径がより小さい第二同軸円筒形、該拡散プレートの残りの長さの該第二円筒形に接続された同軸円錐形を持ち、その円錐部分の上流端の直径が該第二円筒形とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ガス分配プレート。
  37. 該第一円筒形の直径が約0.06インチ〜約0.3インチである、請求項36記載のガス分配プレート。
  38. 該第二円筒形の直径が約0.030インチ〜約0.070インチである、請求項36記載のガス分配プレート。
  39. 該第一円筒形の長さと該第二円筒形の長さとの比率が約0.3〜約1.5である、請求項36記載のガス分配プレート。
  40. 該円錐部分の該下流端の直径が約0.2インチ〜約0.4インチである、請求項36記載のガス分配プレート。
  41. 該円錐形が約20°〜約35°に張り出している、請求項36記載のガス分配プレート。
  42. 該第二円筒形の長さと該円錐形の長さとの比率が約0.8〜約2.0である、請求項36記載のガス分配プレート。
  43. 隣接したガス通路の該円錐部分の該下流端間の間隔が最大でも約0.5インチである、請求項36記載のガス分配プレート。
  44. 該拡散プレートの厚さが約1.0インチ〜約2.2インチである、請求項36記載のガス分配プレート。
  45. 該拡散プレートが多角形である、請求項36記載のガス分配プレート。
  46. 該拡散プレートを通って形成された該円筒形が、該同軸フレア形と異なるフロー制限特性を有する、請求項36記載のガス分配プレート。
  47. 該拡散プレートが矩形である、請求項45記載の多角形のガス分配プレート。
  48. 該ガス分配プレートのサイズが少なくとも1080平方インチである、請求項47記載の矩形の分配プレート。
  49. 基板上に薄膜を堆積させる方法であって、
    上流側と下流側を有する拡散プレートと、該上流側と該下流側の間を通る複数のガス通路とを有するプロセスチャンバ内に基板を配置するステップであって、該ガス通路の少なくとも1つが、該上流側から伸びたその長さの一部の円筒形と該拡散プレートの残りの長さの同軸円錐形を持ち、その円錐部分の上流端の直径が該円筒部分とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、
    該プロセスチャンバ内で該基板上に薄膜を堆積させるステップと、
    を含む、前記方法。
  50. 該プロセスチャンバが遠隔プラズマ源に結合され、該遠隔プラズマ源がフッ素源に結合されている、請求項49記載の方法。
  51. 該拡散プレートが矩形である、請求項50記載の方法。
  52. 該プロセスチャンバがプラズマ増強型化学気相堆積チャンバである、請求項51記載の方法。
  53. 該薄膜が該基板上に堆積してフラットパネルディスプレイが作られる、請求項51記載の方法。
  54. 該薄膜の堆積速度と均一性が該円筒形の直径を調節することにより変化させることができる、請求項51記載の方法。
  55. 該薄膜が、二酸化シリコン(SiO2)、オキシ窒化シリコン(SiON)、窒化シリコン(SiN)、アモルファスシリコン(α-Si)又はドープされたアモルファスシリコン(ドープされたα-Si)であり得る、請求項51記載の方法。
  56. 該薄膜の洗浄残留混入物、例えば、フッ素、濃度が1×1020原子/cc未満である、請求項51記載の方法。
  57. 該洗浄残留混入物、例えば、フッ素、濃度を、該円筒形の直径と該円錐形のフレア角を調節することにより1×1020原子/cc未満に変化させることができる、請求項51記載の方法。
  58. 基板上に薄膜を堆積させる方法であって、
    上流側と下流側を有する拡散プレートと、該上流側と該下流側の間を通る複数のガス通路とを有するプロセスチャンバ内に基板を配置するステップであって、該ガス通路の少なくとも1つが、該上流側から伸びたその長さの一部の第一円筒形、該第一円筒形に接続された直径がより小さな第二同軸円筒形、該拡散プレートの残りの長さの該第二円筒形に接続された同軸円錐形を持ち、その円錐部分の上流端の直径が該第二円筒形とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、
    該プロセスチャンバ内で該基板上に薄膜を堆積させるステップと、
    を含む、前記方法。
  59. 該プロセスチャンバがシリコン源、遠隔プラズマ源に結合され、該遠隔プラズマ源がフッ素源に結合されている、請求項58記載の方法。
  60. 該拡散プレートが矩形である、請求項59記載の方法。
  61. 該プロセスチャンバがプラズマ増強型化学気相堆積チャンバである、請求項60記載の方法。
  62. 該薄膜が該基板上に堆積してフラットパネルディスプレイが作られる、請求項60記載の方法。
  63. 該薄膜の堆積速度と均一性が該円筒形の直径を調節することにより変化させることができる、請求項60記載の方法。
  64. 該薄膜が、二酸化シリコン(SiO2)、オキシ窒化シリコン(SiON)、窒化シリコン(SiN)、アモルファスシリコン(α-Si)又はドープされたアモルファスシリコン(ドープされたα-Si)であり得る、請求項60記載の方法。
  65. 該薄膜の洗浄残留混入物、例えば、フッ素、濃度が1×1020原子/cc未満である、請求項60記載の方法。
  66. 該洗浄残留混入物、例えば、フッ素、濃度を、該円筒形の直径と該円錐形のフレア角を調節することにより1×1020原子/cc未満に変化させることができる、請求項60記載の方法。
  67. プロセスチャンバを洗浄する方法であって、
    上流側と下流側を有する拡散プレートと、該上流側と該下流側の間を通る複数のガス通路とを有する、遠隔プラズマ源に結合され該遠隔プラズマ源がフッ素源に結合されている、プロセスチャンバ内に基板を配置するステップであって、該ガス通路の少なくとも1つが、上流側から伸びたその長さの一部の円筒形と該拡散プレートの残りの長さの同軸円錐形を持ち、その円錐部分の上流端の直径が円筒部分とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、
    該プロセスチャンバ内で該基板上に薄膜を堆積させるステップと、
    処理された基板の数が所定の洗浄限界に達したかを決定するステップと、
    処理された基板の数が該所定の洗浄限界に達していない場合には、処理された基板の数が該所定の洗浄限界に達するまで、該プロセスチャンバ内に基板を配置するステップと、該基板上に薄膜を堆積させるステップと、処理された基板の数が該所定の洗浄限界に達したかを決定するステップを繰り返すステップと、
    処理された基板の数が該所定の洗浄限界に達した場合には該プロセスチャンバを洗浄するステップと、
    を含む、前記方法。
  68. 該拡散プレートが矩形である、請求項67記載の方法。
  69. 該プロセスチャンバがプラズマ増強型化学気相堆積チャンバである、請求項67記載の方法。
  70. 堆積した該薄膜が、二酸化シリコン(SiO2)、オキシ窒化シリコン(SiON)、窒化シリコン(SiN)、アモルファスシリコン(α-Si)又はドープされたアモルファスシリコン(ドープされたα-Si)であり得る、請求項67記載の方法。
  71. 該洗浄が、約0slm〜約6slmで流れている不活性ガス、1slm〜約6slmで流れているフッ素含有ガスで遠隔プラズマ源洗浄プロセスによって行われ、該遠隔プラズマ源発生装置の圧力が0.5Torr〜20Torrに維持される、請求項67記載の方法。
  72. 該不活性ガスがアルゴンであり、該フッ素含有ガスがNF3である、請求項71記載の方法。
  73. 該洗浄速度が該円筒形の直径を調節することにより変化させることができる、請求項67記載の方法。
  74. プロセスチャンバを洗浄する方法であって、
    上流側と下流側を有する拡散プレートと、該上流側と該下流側の間を通る複数のガス通路とを有する、遠隔プラズマ源に結合され該遠隔プラズマ源がフッ素源に結合されているプロセスチャンバ内に基板を配置するステップであって、ガス通路の少なくとも1つが、該上流側から伸びたその長さの一部の第一円筒形、該第一円筒形に接続された直径がより小さい第二同軸円筒形、該拡散プレートの残りの長さの該第二円筒形に接続された同軸円錐形を持ち、その円錐部分の上流端の直径が該第二直円筒形とほぼ同一であり、円錐部分の下流端の直径が大きくなっている、前記ステップと、
    該プロセスチャンバ内で基板上に薄膜を堆積させるステップと、
    処理された基板の数が所定の洗浄限界に達したかを決定するステップと、
    処理された基板の数が該所定の洗浄限界に達していない場合には、処理された基板の数が該所定の洗浄限界に達するまで、プロセスチャンバ内に基板を配置するステップと、該基板上に薄膜を堆積させるステップと、処理された基板の数が該所定の洗浄限界に達したかを決定するステップを繰り返すステップと、
    処理された基板の数が該所定の洗浄限界に達した場合には該プロセスチャンバを洗浄するステップと、
    を含む、前記方法。
  75. 該拡散プレートが矩形である、請求項74記載の方法。
  76. 該プロセスチャンバがプラズマ増強型化学気相堆積チャンバである、請求項74記載の方法。
  77. 堆積した該薄膜が、二酸化シリコン(SiO2)、オキシ窒化シリコン(SiON)、窒化シリコン(SiN)、アモルファスシリコン(α-Si)又はドープされたアモルファスシリコン(ドープされたα-Si)であり得る、請求項74記載の方法。
  78. 該洗浄が、約0slm〜約6slmで流れている不活性ガス、1slm〜約6slmで流れているフッ素含有ガスで遠隔プラズマ源洗浄プロセスによって行われ、該遠隔プラズマ源発生装置の圧力が0.5Torr〜20Torrで維持される、請求項74記載の方法。
  79. 該不活性ガスがアルゴンであり、該フッ素含有ガスがNF3である、請求項78記載の方法。
  80. 該洗浄速度が該円筒形の直径を調節することにより変化させることができる、請求項74記載の方法。
JP2005114911A 2004-04-12 2005-04-12 プラズマ処理チャンバのためのガス分配プレート Active JP5002132B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/823,347 US20050223986A1 (en) 2004-04-12 2004-04-12 Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US10/823347 2004-04-12

Publications (3)

Publication Number Publication Date
JP2005317958A true JP2005317958A (ja) 2005-11-10
JP2005317958A5 JP2005317958A5 (ja) 2008-07-24
JP5002132B2 JP5002132B2 (ja) 2012-08-15

Family

ID=35059265

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005114911A Active JP5002132B2 (ja) 2004-04-12 2005-04-12 プラズマ処理チャンバのためのガス分配プレート

Country Status (5)

Country Link
US (3) US20050223986A1 (ja)
JP (1) JP5002132B2 (ja)
KR (1) KR100658239B1 (ja)
CN (1) CN1715442B (ja)
TW (1) TWI301294B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008123142A1 (ja) * 2007-03-27 2008-10-16 Sekisui Chemical Co., Ltd. プラズマ処理装置
JP2009035821A (ja) * 2007-07-20 2009-02-19 Applied Materials Inc スリットバルブ補償を備えた拡散プレート
JP2009071303A (ja) * 2007-09-17 2009-04-02 Asm Internatl Nv 堆積されたコーティングを有するアパーチャを備えた半導体処理部品、及びその形成方法。
KR20120062698A (ko) * 2009-07-15 2012-06-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
JP2013527319A (ja) * 2010-04-28 2013-06-27 アプライド マテリアルズ インコーポレイテッド 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
JP2016008318A (ja) * 2014-06-23 2016-01-18 住友金属鉱山株式会社 ガス放出パイプ及びこれを具備する成膜装置並びにこの装置を用いた酸化物膜又は窒化物膜の成膜方法
CN105446275A (zh) * 2014-08-12 2016-03-30 北京北方微电子基地设备工艺研究中心有限责任公司 气路界面显示方法和系统
JP2016119475A (ja) * 2014-12-22 2016-06-30 群創光電股▲ふん▼有限公司Innolux Corporation 表示パネル
JP2017011182A (ja) * 2015-06-24 2017-01-12 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005167019A (ja) * 2003-12-03 2005-06-23 Sharp Corp トランジスタおよびそのゲート絶縁膜の成膜に用いるcvd装置
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
WO2007045110A2 (en) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Cleaning means for large area pecvd devices using a remote plasma source
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
JP4550040B2 (ja) * 2005-12-16 2010-09-22 セメス株式会社 カーボンナノチューブの合成装置及び方法
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US7588957B2 (en) 2007-10-17 2009-09-15 Applied Materials, Inc. CVD process gas flow, pumping and/or boosting
JP5006938B2 (ja) * 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
KR100953828B1 (ko) * 2008-01-15 2010-04-20 주식회사 테스 플라즈마 처리장치
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
CN101492812B (zh) * 2008-11-24 2011-03-23 招商局漳州开发区创大太阳能有限公司 一种可连续大面积均匀化学气相沉积的喷头系统
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
WO2012008805A2 (ko) 2010-07-15 2012-01-19 한국기계연구원 선회유닛 기반의 미세 기포 발생장치
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
CN102776483A (zh) * 2011-05-09 2012-11-14 无锡尚德太阳能电力有限公司 等离子体辅助气相传输沉积装置及方法
WO2012156062A1 (de) * 2011-05-13 2012-11-22 Leybold Optics Gmbh Verfahren zur plasmabehandlung eines substrats in einer plasmavorrichtung
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR20140058647A (ko) * 2011-09-07 2014-05-14 어플라이드 머티어리얼스, 인코포레이티드 선형 증착 챔버에서 가스를 분배하고 플라즈마를 적용하기 위한 장치 및 방법
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US20130273239A1 (en) * 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
CN104233229A (zh) * 2013-06-24 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及等离子体加工设备
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US20150280051A1 (en) * 2014-04-01 2015-10-01 Tsmc Solar Ltd. Diffuser head apparatus and method of gas distribution
US9502686B2 (en) * 2014-07-03 2016-11-22 Applied Materials, Inc. Fluorine-containing polymerized HMDSO applications for OLED thin film encapsulation
KR101983334B1 (ko) * 2015-06-02 2019-09-03 에이피시스템 주식회사 박막 증착장치 및 박막 증착방법
CN107835868B (zh) 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
CN106887396A (zh) * 2015-12-16 2017-06-23 浙江鸿禧能源股份有限公司 一种新型的臭氧发生器喷气板的设计方法
CN105506577B (zh) * 2016-03-02 2018-01-23 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN105845609B (zh) * 2016-05-27 2019-08-20 京东方科技集团股份有限公司 支撑设备及支撑方法
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US20180090300A1 (en) * 2016-09-27 2018-03-29 Applied Materials, Inc. Diffuser With Corner HCG
KR101753249B1 (ko) * 2017-01-26 2017-09-18 이선영 반도체 공정챔버 샤워헤드
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
KR101982615B1 (ko) * 2017-05-26 2019-05-29 제주대학교 산학협력단 원자층 증착용 헤드 및 이를 갖는 원자층 증착 장치
KR101774331B1 (ko) 2017-06-27 2017-09-04 이선영 반응가스 주입용 반도체 공정챔버 샤워헤드
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
KR102455239B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102092641B1 (ko) * 2017-10-31 2020-03-24 제주대학교 산학협력단 원자층 증착 헤드 및 이를 포함하는 원자층 증착 장치
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN110430651B (zh) * 2019-07-29 2023-05-05 四川大学 平行板dbd等离子体发生器
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11685994B2 (en) * 2019-09-13 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. CVD device pumping liner
JP2021110041A (ja) * 2020-01-15 2021-08-02 エーエスエム アイピー ホールディング ビー.ブイ. シャワーヘッドアセンブリおよび構成要素
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
CN114107953A (zh) * 2021-09-18 2022-03-01 江苏微导纳米科技股份有限公司 原子层沉积装置及其喷淋板
US20230122134A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Deposition chamber system diffuser with increased power efficiency

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01139771A (ja) * 1987-08-14 1989-06-01 Applied Materials Inc プラズマ促進化学的蒸着方法
JPH0435029A (ja) * 1990-05-31 1992-02-05 Hitachi Electron Eng Co Ltd プラズマcvd装置のシャワー電極構造
JPH09283499A (ja) * 1996-04-12 1997-10-31 Tokyo Electron Ltd プラズマ処理装置
JPH10168572A (ja) * 1996-10-11 1998-06-23 Ebara Corp 反応ガス噴射ヘッド
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
JPH11158633A (ja) * 1997-09-30 1999-06-15 Tokyo Electron Arizona Inc Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP2001223204A (ja) * 2000-02-08 2001-08-17 Shin Etsu Chem Co Ltd プラズマエッチング装置用電極板
JP2001308019A (ja) * 2000-01-31 2001-11-02 Applied Materials Inc 改良されたチャンバ洗浄方法及び装置
JP2002520835A (ja) * 1998-07-13 2002-07-09 エーケーティー株式会社 処理装置用ガス分配プレート
JP2002280377A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
WO2003003414A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003163208A (ja) * 2001-11-27 2003-06-06 Asm Japan Kk セルフクリーニングを実行するプラズマcvd装置及び方法
JP2003342737A (ja) * 2002-05-17 2003-12-03 Tokyo Electron Ltd 処理装置のガス導入方法
JP2004079784A (ja) * 2002-08-19 2004-03-11 Toshiba Ceramics Co Ltd 流体流通用シリカガラス板及びその製造方法

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS602489B2 (ja) * 1977-05-02 1985-01-22 株式会社豊田中央研究所 低騒音用圧力流体放出装置
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
EP0244204A1 (en) * 1986-04-28 1987-11-04 Western Packaging Systems Limited Low pressure atomization nozzle
US5359254A (en) * 1990-06-26 1994-10-25 Research Institute Of Applied Mechanics And Electrodynamics Plasma compensation cathode
GB9202434D0 (en) * 1992-02-05 1992-03-18 Xaar Ltd Method of and apparatus for forming nozzles
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5573682A (en) * 1995-04-20 1996-11-12 Plasma Processes Plasma spray nozzle with low overspray and collimated flow
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP3108389B2 (ja) * 1997-07-08 2000-11-13 アイシン精機株式会社 後輪操舵装置
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
FR2781707B1 (fr) * 1998-07-30 2000-09-08 Snecma Procede d'usinage par laser excimere de trous ou de formes a profil variable
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
DE19937961A1 (de) * 1999-08-11 2001-02-15 Bosch Gmbh Robert Brennstoffeinspritzventil und Verfahren zur Herstellung von Austrittsöffnungen an Ventilen
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6460369B2 (en) * 1999-11-03 2002-10-08 Applied Materials, Inc. Consecutive deposition system
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
AU2001242363A1 (en) * 2000-02-04 2001-08-14 Aixtron Ag Device and method for depositing one or more layers onto a substrate
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6998579B2 (en) * 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
JP3689354B2 (ja) * 2001-08-06 2005-08-31 シャープ株式会社 プラズマプロセス装置
EP1295647A1 (en) * 2001-09-24 2003-03-26 The Technology Partnership Public Limited Company Nozzles in perforate membranes and their manufacture
US7052622B2 (en) * 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
CN100439561C (zh) * 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
US20040039989A1 (en) * 2002-08-26 2004-02-26 Peter Warren Structured forms with configurable labels
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
US7384876B2 (en) * 2002-12-20 2008-06-10 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004239251A (ja) * 2003-02-06 2004-08-26 Aisan Ind Co Ltd 燃料噴射弁
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
JP4098121B2 (ja) * 2003-03-03 2008-06-11 株式会社日立製作所 平面型表示装置
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
US6852139B2 (en) * 2003-07-11 2005-02-08 Excellatron Solid State, Llc System and method of producing thin-film electrolyte
KR20060120707A (ko) * 2003-12-15 2006-11-27 어플라이드 머티어리얼스, 인코포레이티드 Cvd 막 특성들의 개선을 위한 에지 플로우 면판
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060038554A1 (en) * 2004-02-12 2006-02-23 Applied Materials, Inc. Electron beam test system stage
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01139771A (ja) * 1987-08-14 1989-06-01 Applied Materials Inc プラズマ促進化学的蒸着方法
JPH0435029A (ja) * 1990-05-31 1992-02-05 Hitachi Electron Eng Co Ltd プラズマcvd装置のシャワー電極構造
JPH09283499A (ja) * 1996-04-12 1997-10-31 Tokyo Electron Ltd プラズマ処理装置
JPH10168572A (ja) * 1996-10-11 1998-06-23 Ebara Corp 反応ガス噴射ヘッド
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JPH11158633A (ja) * 1997-09-30 1999-06-15 Tokyo Electron Arizona Inc Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法
JP2002520835A (ja) * 1998-07-13 2002-07-09 エーケーティー株式会社 処理装置用ガス分配プレート
JP2001308019A (ja) * 2000-01-31 2001-11-02 Applied Materials Inc 改良されたチャンバ洗浄方法及び装置
JP2001223204A (ja) * 2000-02-08 2001-08-17 Shin Etsu Chem Co Ltd プラズマエッチング装置用電極板
JP2002280377A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
WO2003003414A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003163208A (ja) * 2001-11-27 2003-06-06 Asm Japan Kk セルフクリーニングを実行するプラズマcvd装置及び方法
JP2003342737A (ja) * 2002-05-17 2003-12-03 Tokyo Electron Ltd 処理装置のガス導入方法
JP2004079784A (ja) * 2002-08-19 2004-03-11 Toshiba Ceramics Co Ltd 流体流通用シリカガラス板及びその製造方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10312058B2 (en) 2004-05-12 2019-06-04 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10262837B2 (en) 2004-05-12 2019-04-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
WO2008123142A1 (ja) * 2007-03-27 2008-10-16 Sekisui Chemical Co., Ltd. プラズマ処理装置
KR101087445B1 (ko) 2007-03-27 2011-11-25 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 장치
JP2009035821A (ja) * 2007-07-20 2009-02-19 Applied Materials Inc スリットバルブ補償を備えた拡散プレート
JP2009071303A (ja) * 2007-09-17 2009-04-02 Asm Internatl Nv 堆積されたコーティングを有するアパーチャを備えた半導体処理部品、及びその形成方法。
TWI490366B (zh) * 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構
KR20120062698A (ko) * 2009-07-15 2012-06-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US10550472B2 (en) 2009-07-15 2020-02-04 Applied Materials, Inc. Flow control features of CVD chambers
JP2013527319A (ja) * 2010-04-28 2013-06-27 アプライド マテリアルズ インコーポレイテッド 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
JP2016008318A (ja) * 2014-06-23 2016-01-18 住友金属鉱山株式会社 ガス放出パイプ及びこれを具備する成膜装置並びにこの装置を用いた酸化物膜又は窒化物膜の成膜方法
CN105446275A (zh) * 2014-08-12 2016-03-30 北京北方微电子基地设备工艺研究中心有限责任公司 气路界面显示方法和系统
CN105446275B (zh) * 2014-08-12 2018-05-25 北京北方华创微电子装备有限公司 气路界面显示方法和系统
JP2016119475A (ja) * 2014-12-22 2016-06-30 群創光電股▲ふん▼有限公司Innolux Corporation 表示パネル
JP2017011182A (ja) * 2015-06-24 2017-01-12 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置

Also Published As

Publication number Publication date
CN1715442B (zh) 2013-02-13
TWI301294B (en) 2008-09-21
TW200533781A (en) 2005-10-16
US20090104376A1 (en) 2009-04-23
JP5002132B2 (ja) 2012-08-15
KR20060045618A (ko) 2006-05-17
CN1715442A (zh) 2006-01-04
US8795793B2 (en) 2014-08-05
KR100658239B1 (ko) 2006-12-14
US20140230730A1 (en) 2014-08-21
US20050223986A1 (en) 2005-10-13
US11692268B2 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
JP5002132B2 (ja) プラズマ処理チャンバのためのガス分配プレート
US10312058B2 (en) Plasma uniformity control by gas diffuser hole design
JP3122484U (ja) 大型pecvdシステム用の多様な大きさの孔を有するバッフルプレートによるガス供給の均一性の向上
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
US8394231B2 (en) Plasma process device and plasma process method
US5556474A (en) Plasma processing apparatus
US20080268176A1 (en) Method of improving the uniformity of PECVD-deposited thin films
KR20080060241A (ko) 원거리 플라즈마 소스를 이용한 대면적 pecvd 장치용클리닝 방법
JP2008506273A (ja) ガス拡散器湾曲によるプラズマ均一性の制御
CN118119733A (zh) 用于扩散器的虚设孔和网孔补片
US20070202636A1 (en) Method of controlling the film thickness uniformity of PECVD-deposited silicon-comprising thin films
JPH0845858A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080411

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080606

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110419

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110422

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110621

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120515

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120521

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5002132

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150525

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250