KR20060045618A - 광역 플라즈마 강화 화학 기상 증착용 가스 확산샤워헤드의 구조 - Google Patents

광역 플라즈마 강화 화학 기상 증착용 가스 확산샤워헤드의 구조 Download PDF

Info

Publication number
KR20060045618A
KR20060045618A KR1020050030306A KR20050030306A KR20060045618A KR 20060045618 A KR20060045618 A KR 20060045618A KR 1020050030306 A KR1020050030306 A KR 1020050030306A KR 20050030306 A KR20050030306 A KR 20050030306A KR 20060045618 A KR20060045618 A KR 20060045618A
Authority
KR
South Korea
Prior art keywords
gas distribution
distribution plate
gas
plate assembly
cylindrical shape
Prior art date
Application number
KR1020050030306A
Other languages
English (en)
Other versions
KR100658239B1 (ko
Inventor
수영 최
존 엠. 화이트
로버트 아이. 그린
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060045618A publication Critical patent/KR20060045618A/ko
Application granted granted Critical
Publication of KR100658239B1 publication Critical patent/KR100658239B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

프로세싱 챔버 내에서 가스를 분배하기 위한 가스 분배판의 실시예가 제공된다. 일 실시예에서, 가스 분배판은 상류 측부와 하류 측부를 가진 확산판과, 상기 확산판의 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 포함한다. 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다. 상기 가스 분배판은 상대적으로 제조가 용이하며, 우수한 챔버 세정율, 우수한 박막 증착 균일도 및 우수한 박막 증착율을 제공한다. 또한, 상기 가스 분배판은 확산면에서 챔버 세정 잔류물이 적고, 증착중인 박막에 대한 세정 잔류물의 결합이 적다는 잇점이 있다.

Description

광역 플라즈마 강화 화학 기상 증착용 가스 확산 샤워헤드의 구조 {GAS DIFFUSION SHOWER HEAD DESIGN FOR LARGE AREA PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION}
도 1은 하단 게이트형(bottom gate) 박막 트랜지스터를 개략적으로 도시한 단면도이고,
도 2a는 본 발명의 가스 분배판 조립체의 일 실시예를 가진 프로세싱 챔버를 개략적으로 도시한 단면도이며,
도 2b는 본 발명의 가스 확산판의 실시예를 도시한 저면도이고,
도 3은 가스 확산판을 개략적으로 도시한 단면도이며,
도 4a는 본 발명의 가스 확산판의 실시예를 개략적으로 도시한 단면도이고,
도 4b는 본 발명의 가스 확산판의 예시적 실시예의 일부를 도시한 평면도이며,
도 4c는 도 4a의 가스 확산판 구조의 변형을 개략적으로 도시한 단면도이고,
도 5는 프로세스 공간에 노출된 확산기 표면을 도시한 도면이며,
도 6은 가스 확산판을 구비한 프로세스 챔버내의 기판상에 박막을 증착하고, 상기 프로세싱 챔버를 세정하는 프로세스 플로우을 도시한 도면이고,
도 7은 도 3 및 도 4a 구조의 SiN 필름의 플루오린 성분의 2차 이온 질량 분 석기(SIMS)의 분석결과 그래프이며,
도 8a는 더 두꺼운 확산판을 위한 도 4a의 가스 확산판 구조의 변형을 개략적으로 도시한 단면도이고,
도 8b는 도 8a의 가스 확산판 구조의 또 다른 변혀을 개략적으로 도시한 단면도이다.
*도면의 주요 부분에 대한 부호의 설명*
101: 기판 102: 게이트 전극층
103: 게이트 유전체층 104: 반도체층
105: 도프된 반도체층 106: 전도체층
107: 패시베이션층 108: 투과성 전도체층
200: 플라즈마 강화 CVD 시스템 202: 프로세싱 챔버
204: 가스 소오스 206: 벽체
208: 바닥 210: 덮개 조립체
212: 프로세스 공간 214: 펌핑 플레넘(pumping plenum)
216: 천공 영역 218: 가스 분배판 조립체
220: 내측면 222: 전원
224: 알루미늄 본체 226: 하측면
228: 홀 230: 지지면
232: 내장형 히터 234: 상측면
238: 기판 지지 조립체 240: 기판
242: 스템 246: 벨로우즈
248: 새도우 프레임 250: 리프트 핀
254: 선택적 리프트 플레이트 258: 확산판
260: 행거 플레이트 262: 가스 통로
264: 플레넘 266: 통공
274: 전원 280: 유입 포트
282: 세정 소오스 290: 폭
292: 길이 302: 제한부
303: 플레어형(flared) 커넥터 304: 중앙 통로
306: 플레어형 개구 310: 천공된 중앙부
318: 제 1 측부 320: 제 2 측부
402: 제한부 405: 플레어형 연결부
406: 원뿔형 개구 410: 천공된 중앙부
411, 412: 길이 416: 퍼짐각
418: 제 1 측부 420: 제 2 측부
450: 홀 460: 육각형
501: 굵은 실선 600: 프로세스 플로우
601, 602, 603, 604, 605, 606, 607: 단계
701, 702: 곡선 801: 길이
802: 제한부 803: 플레어형 커넥터
804: 섹션 805: 플레어형 연결부
806: 플레어부 811, 812: 길이
816: 퍼짐각 818: 제 1 측부
일반적으로, 본 발명의 실시예는 프로세싱 챔버내에서 가스를 분배하기 위한 방법 및 가스 분배판 조립체에 관한 것이다.
액정 디스플레이 또는 평면 패널은 컴퓨터 및 텔레비젼 모니터와 같은 능동 매트릭스 디스플레이를 위하여 통상적으로 사용된다. (평면 패널용) 투명 유리 기판 또는 반도체 웨이퍼와 같은 기판상에 박막을 증착하기 위하여, 플라즈마 강화 화학 기상 증착(PECVD)이 일반적으로 사용된다. 일반적으로, PECVD는 평면 패널이 수용된 진공 챔버속으로 전구체 가스 또는 가스 혼합물을 도입시킴으로써 실시된다. 통상적으로, 상기 전구체 가스 또는 가스 혼합물은 챔버의 상부 부근에 위치된 분배판을 통하여 하방으로 공급된다. 챔버내의 전구체 가스 또는 가스 혼합물은, 챔버에 접속된 하나 또는 그 이상의 RF 소오스로부터 챔버로 고주파 (RF) 전력을 인가함으로써, 플라즈마로 활성화(즉, 여기)된다. 여기된 가스 또는 가스 혼합물은 반응하여 온도 제어식 기판 지지체상에 위치된 평면 패널의 표면상에 재료층을 형성하게 된다. 반응 과정에서 생성된 휘발성 부산물은 배기 시스템을 통해 챔버로부터 펌핑된다.
PECVD 기술로 프로세싱된 평면 패널은 통상적으로 대형이며, 흔히 370㎜ ×470㎜를 초과하고, 1 평방미터 이상의 크기 범위를 갖는다. 가까운 장래에 4 평방미터에 근접하거나 이를 초과하는 광역 기판이 예상된다. 평면 패널 위로 균일한 프로세스 가스 흐름을 제공하기 위해 사용되는 가스 분배판은 특히 200㎜ 및 300㎜ 반도체 웨이퍼 프로세싱을 위해 사용되는 가스 분배판과 비교할 때, 상대적으로 크기가 크다.
평면 패널 프로세싱에 사용되는 대형 가스 분배판은 높은 제조비용을 유발하는 제조상의 많은 문제점이 있다. 예를 들면, 가스 분배판에 관통하여 형성된 가스 유동 홀의 직경이 가스 분배판의 두께에 비해 작다. 예를 들어, 1.2인치 두께의 판에서 홀의 직경은 0.016인치이며, 그 결과 홀 성형 과정에서 빈번한 드릴 비트 파손이 발생한다. 파손된 드릴 비트의 제거는 시간을 소비하게 되고, 그로 인하여 전체 가스 분배판이 폐기될 수 있다. 또한, 가스 분배판을 관통하여 형성되는 가스 유동 홀의 갯수는 평면 패널의 크기에 비례하기 때문에, 각 분배판에 형성된 많은 수의 홀은 분배판 제조 과정에서 불리하게 작용하여 문제를 유발할 수 있다. 또한, 많은 수의 홀은 드릴 비트 파손을 최소화하기 위해 요구되는 주의와 결부되어 제조시간을 길게 하고, 그에 따라 제조비용이 상승하게 된다.
가스 분배판을 제조하기 위한 재료의 비용이 크기 때문에, 효율적이고 저렴하게 제조될 수 있는 구조의 가스 분배판을 개발하는 것이 유리하다. 또한, 차세대 가스 분배판의 크기가 1.2 평방미터를 초과하는 평면 기판의 프로세싱에 적합하도록 증대되기 때문에, 전술한 문제의 해결이 점차 중요시되고 있다. 대형 가스 분배판의 구조와 관련한 비용 문제를 처리하는 것이 중요하지만, 성능 특성이 간과되지 않아야 한다. 예를 들어, 가스 유동 홀의 형상, 위치 및 밀도는 증착율 및 균일도와 같은 증착 성능과, 프로세스 챔버내의 잔류 세정 화학물 및 세정 효율과 같은 세정 특성에 직접적인 영향을 미친다.
따라서, 제조비용을 줄이고, 우수한 증착 및 세정 성능을 가진 개선된 가스 분배판 조립체가 필요하다.
프로세싱 챔버 내에서 가스를 분배하기 위한 가스 분배판의 실시예가 제공된다. 일 실시예에서, 플라즈마 프로세싱 챔버용 가스 분배판 조립체는 상류 측부와 하류 측부를 가진 확산판과, 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
다른 실시예에서, 플라즈마 프로세싱 챔버용 가스 분배판 조립체는 플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 플라즈마 프로세스 챔버내에서 상류 측부와 하류 측부를 가진 확산판과, 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
또 다른 실시예에서, 플라즈마 프로세싱 챔버용 가스 분배판 조립체는 상류 측부와 하류 측부를 가진 확산판과, 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
또 다른 실시예에서, 플라즈마 프로세싱 챔버용 가스 분배판 조립체는 플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 플라즈마 프로세스 챔버내에서 상류 측부와 하류 측부를 가진 확산판과, 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
또 다른 실시예에서, 기판상에 박막을 증착하는 방법은 상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비한 프로세스 챔버내에 기판을 위치시키는 단계; 및 상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계;를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
또 다른 실시예에서, 기판상에 박막을 증착하는 방법은 상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비한 프로세스 챔버내에 기판을 위치시키는 단계; 및 상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계;를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
또 다른 실시예에서, 프로세스 챔버를 세정하는 방법은 상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비하고 플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 프로세스 챔버내에 기판을 위치시키는 단계; 상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계; 상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계; 상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하지 않았다면, 프로세싱된 기판의 수가 예정된 세정한계에 도달할 때까지, 상기 프로세스 챔버내에 기판을 위치시키는 단계, 상기 기판상에 박막을 증착하는 단계 및 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계를 반복하는 단계; 및 상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였다면, 상기 프로세싱 챔버를 세정하는 단계;를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
또 다른 실시예에서, 프로세스 챔버를 세정하는 방법은 상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비하고 플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 프로세스 챔버내에 기판을 위치시키는 단계; 상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계; 상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계; 상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하지 않았다면, 프로세싱된 기판의 수가 예정된 세정한계에 도달할 때까지, 상기 프로세스 챔버내에 기판을 위치시키는 단계, 상기 기판상에 박막을 증착하는 단계 및 프로세 싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계를 반복하는 단계; 및 상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였다면, 상기 프로세싱 챔버를 세정하는 단계;를 포함하며, 상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는다.
이하, 첨부도면을 참조하여 본 발명을 상세하게 설명한다.
용이하게 이해할 수 있도록, 도면에서 공통되는 동일한 구성요소는 가능한 한 동일한 참조번호를 사용하여 표시하였다.
일반적으로, 본 발명은 프로세싱 챔버 내에서 가스를 전달하기 위한 가스 분배판 조립체를 제공한다. 이하, 본 발명은 캘리포니아주, 산타 클라라에 소재한 어플라이드 머티어리얼 인코포레이티드의 계열사인 AKT로부터 이용가능한 플라즈마 강화 화학 기상 증착(PECVD) 시스템을 참조하여 설명된다. 그러나, 본 발명은 에칭 시스템, 다른 화학 기상 증착 시스템 및 원형 기판을 프로세싱하도록 구성된 시스템들을 포함하여 프로세스 챔버 내에서 가스가 분배되는 임의의 다른 시스템과 같은 다른 시스템 구성에서도 유용성이 있다는 것을 알 수 있을 것이다.
도 1은 박막 트랜지스터 구조를 개략적으로 도시한 단면도이다. 일반적인 TFT 구조는 도 1에 도시된 백 채널 에칭(BCE: back channel etch) 역적층(또는 하단 게이트형) TFT 구조이다. 게이트 유전체(SiN)와 진성 실리콘 뿐만 아니라 n+ 도프된 비결정질 실리콘 필름이 동일한 PECVD 펌프-다운 런(pump-down run)에서 증착될 수 있기 때문에, BCE 프로세스가 바람직하다. 여기에 도시된 BCE 프로세스는 4개의 패터닝 마스크만을 포함한다. 기판(101)은, 예를 들면, 유리 또는 투명 플라스틱과 같이 가시 스펙트럼에서 본질적으로 광학적으로 투명한 재료를 포함할 수 있다. 상기 기판은 형태 또는 크기가 변할 수 있다. 통상적으로, TFT 응용예에 있어서, 상기 기판은 약 500㎟ 이상의 표면적을 가진 유리 기판이다. 상기 기판(101)상에 게이트 전극층(102)이 형성된다. 상기 게이트 전극층(102)은 TFT내에서 전하 운반체의 운동을 제어하는 전기 전도체층을 포함한다. 상기 게이트 전극층(102)은, 예를 들어 알루미늄(Al), 텅스텐(W), 크롬(Cr), 탄탈(Ta) 또는 이들의 조합 등과 같은 금속을 포함할 수 있다. 상기 게이트 전극층(102)은 통상의 증착, 리소그래피 및 에칭 기술을 사용하여 형성될 수 있다. 상기 기판(101)과 게이트 전극층(102) 사이에는 예를 들어 실리콘 디옥사이드(SiO2) 또는 실리콘 니트라이드(SiN)와 같은 선택적(optional) 절연재료가 존재할 수 있으며, 이는 본 발명에 개시된 PECVD 시스템의 실시예를 사용하여 형성될 수도 있다. 그 다음, 상기 게이트 전극층(102)은 통상의 기술을 이용하여 리소그래픽으로 패터닝 및 에칭되어 게이트 전극을 형성하게 된다.
상기 게이트 전극층(102)상에는 게이트 유전체층(103)이 형성된다. 상기 게 이트 유전체층(103)은 본 발명에 개시된 PECVD 시스템의 실시예를 이용하여 증착된 실리콘 디옥사이드(SiO2), 실리콘 옥시니트라이드(SiON) 또는 실리콘 니트라이드(SiN)일 수 있다. 상기 게이트 유전체층(13)은 약 100Å 내지 약 6000Å 범위의 두께로 형성될 수 있다.
상기 게이트 유전체층(103)상에는 벌크 반도체층(104)이 형성된다. 상기 벌크 반도체층(104)은, 본 발명에 개시된 PECVD 시스템의 실시예 또는 당업계에 공지된 통상의 방법을 이용하여 증착될 수 있는, 폴리크리스탈린 실리콘(폴리실리콘) 또는 비결정질 실리콘(α-Si)을 포함할 수 있다. 벌크 반도체층(104)은 약 100Å 내지 약 3000Å 범위의 두께로 증착될 수 있다. 상기 반도체층(104)의 상부에는 도프된 반도체층(105)이 형성된다. 상기 도프된 반도체층(105)은, 본 발명에 개시된 PECVD 시스템의 실시예 또는 당업계에 공지된 통상의 방법을 이용하여 증착될 수 있는, n형(n+) 또는 p형(p+)의 도프된 폴리크리스탈린 실리콘(폴리실리콘) 또는 비결정질 실리콘(α-Si)을 포함할 수 있다. 도프된 반도체층(105)은 약 100Å 내지 약 3000Å 범위의 두께로 증착될 수 있다. 상기 도프된 반도체층(105)의 예는 n+ 도프된 α-Si 필름이다. 상기 벌크 반도체층(104)과 도프된 반도체층(105)은 통상의 기술을 이용하여 리소그래픽으로 패터닝 및 에칭되어 상기 게이트 유전 절연체 위에 이들 두가지 필름으로 이루어진 메사(mesa)를 형성하게 되며, 이는 스토리지 캐패시터 유전체 역할도 한다. 상기 도프된 반도체층(105)은 벌크 반도체층(104)의 일부와 직접 접촉하여 반도체 접합부를 형성한다.
그 다음, 노출된 표면상에 전도체층(106)이 증착된다. 상기 전도체층(106)은 예를 들어 알루미늄(Al), 텅스텐(W), 몰리브덴(Mo), 크롬(Cr), 탄탈(Ta) 및 이들의 조합 등과 같은 금속을 포함할 수 있다. 상기 전도체층(106)은 통상의 증착 기술을 이용하여 형성될 수 있다. 상기 전도체층(106)과 도프된 반도체층(105)은 모두 리소그래픽으로 패터닝되어 TFT의 소오스 및 드레인 컨택(drain contacts)을 형성하게 된다. 패시베이션층(107)이 노출된 표면에 정합적으로 코팅된다. 일반적으로, 상기 패시베이션층(107)은 절연체이며, 예를 들어, 실리콘 디옥사이드(SiO2) 또는 실리콘 니트라이드(SiN)를 포함할 수 있다. 상기 패시베이션층(107)은 예를 들면 PECVD 또는 당업계에 공지된 다른 통상의 방법을 이용하여 형성될 수 있다. 상기 패시베이션층(107)은 약 1000Å 내지 약 5000Å 범위의 두께로 증착될 수 있다. 그 다음, 상기 패시베이션층(107)은 통상의 기술을 이용하여 리소그래픽으로 패터닝 및 에칭되어 패시베이션층에 개방된 컨택 홀을 형성하게 된다.
그 다음, 투과성 전도체층(108)이 증착 및 패터닝되어 상기 전도체층(106)과 접촉하게 된다. 상기 투과성 전도체층(108)은 가시 스펙트럼에서 본질적으로 광학적으로 투명한 재료를 포함하며, 전기적으로 전도성이다. 투과성 전도체층(108)은 예를 들어 인듐 틴 옥사이드(ITO) 또는 징크 옥사이드 등을 포함할 수 있다. 상기 투과성 전도체층(108)의 패터닝은 통상의 리소그래픽 및 에칭 기술에 의해 실시된다.
액정 디스플레이(또는 평면 패널)에 사용된 상기 도프된 또는 언도프된(진 성) 비결정질 실리콘(α-Si), 실리콘 디옥사이드(SiO2), 실리콘 옥시니트라이드(SiON) 및 실리콘 니트라이드(SiN) 필름은 모두 본 발명에 개시된 플라즈마 강화 화학 기상 증착(PECVD) 시스템의 실시예를 이용하여 증착될 수 있다.
도 2a는 캘리포니아주, 산타 클라라에 소재한 어플라이드 머티어리얼 인코포레이티드의 계열사인 AKT로부터 이용가능한 플라즈마 강화 화학 기상 증착 시스템(200)의 일 실시예를 개략적으로 도시한 단면도이다. 일반적으로, 상기 시스템(200)은 가스 소오스(204)에 연결된 프로세싱 챔버(202)를 포함한다. 상기 프로세싱 챔버(202)는 프로세스 공간(212)을 부분적으로 형성하는 바닥(208)과 벽체(206)를 갖는다. 통상적으로, 상기 프로세싱 챔버(202)에 대하여 기판(240)을 용이하게 유입 및 유출시키는 벽체(206)의 포트(미도시)를 통하여 상기 프로세스 공간(212)에 접근하게 된다. 통상적으로, 상기 벽체(206)와 바닥(208)은 알루미늄 또는 프로세싱에 적합한 다른 재료로 이루어진 일체형 블럭으로 제조된다. 상기 벽체(206)는 펌핑 플레넘(214)을 구비한 덮개 조립체(210)를 지지하며, 상기 펌핑 플레넘은 프로세스 공간(212)을 (다양한 펌핑 요소를 포함하는) 배기 포트(미도시)에 연결한다.
상기 프로세싱 챔버(202)의 내부 중앙에는 온도 제어식 기판 지지 조립체(238)가 배치된다. 상기 기판 조립체(238)는 프로세싱 과정중에 기판(240)을 지지한다. 일 실시예에서, 상기 기판 지지 조립체(238)는 하나 이상의 내장형 히터(232)를 둘러싼 알루미늄 본체(224)를 포함한다. 상기 기판 조립체(238)내에 배치 된 저항 요소와 같은 히터(232)는 선택적 전원(274)에 연결되며, 상기 지지 조립체(238)와 그 위에 배치된 기판(240)을 소정의 온도로 제어가능하게 가열한다. 통상적으로, CVD 프로세스에서, 상기 히터(232)는 증착되는 재료의 증착 프로세싱 변수에 따라 기판(240)을 약 150 내지 적어도 약 460℃의 균일한 온도로 유지한다.
일반적으로, 상기 지지 조립체(238)는 하측면(226)과 상측면(234)을 갖는다. 상기 상측면(234)은 기판(240)을 지지한다. 상기 하측면(226)은 하측면 자체에 연결된 스템(242)을 갖는다. 상기 스템(242)은 지지 조립체(238)를 리프트 시스템(미도시)에 연결시키며, 상기 리프트 시스템은 지지 조립체(238)를 (도시된 바와 같이) 상승된 프로세싱 위치와 하강 위치 사이로 움직이게 되고, 이는 프로세싱 챔버(202)에 대한 기판의 유입 및 유출을 용이하게 한다. 부가적으로, 상기 스템(242)은 지지 조립체(238)와 시스템(200)의 다른 구성요소간의 전선 및 열전쌍 리드용 도관을 제공한다.
상기 프로세싱 챔버(202)의 바닥(208)과 지지 조립체(238)(또는 스템(242)) 사이에는 벨로우즈(246)가 연결된다. 상기 벨로우즈(246)는 프로세싱 챔버(202)의 외부 대기와 챔버 공간(212) 사이에 진공 시일을 제공하며, 지지 조립체(238)의 수직 운동을 용이하게 한다.
일반적으로, 상기 지지 조립체(238)는, 전원(222)에 의해 덮개 조립체(210)와 기판 지지 조립체(238) 사이에 위치된 가스 분배판 조립체(218)(또는 챔버의 덮개 조립체 부근 또는 그 내부에 위치된 다른 전극)에 공급된 RF 전력이 상기 지지 조립체(238)와 분배판 조립체(218) 사이의 프로세스 공간(212)에 존재하는 가스를 여기시킬 수 있도록, 접지된다. 일반적으로, 상기 전원(222)으로부터의 RF 전력은 화학 기상 증착 프로세스를 구동하기 위하여 기판의 크기에 적합하도록 선택된다.
부가적으로, 상기 지지 조립체(238)는 가장자리의 새도우 프레임(248)을 지지한다. 일반적으로, 상기 새도우 프레임(248)은 기판(240) 및 지지 조립체(238)의 엣지에서의 증착을 방지하여, 기판이 지지 조립체(238)에 점착되지 않도록 한다. 상기 지지 조립체(238)에는 다수의 리프트 핀(250)을 수용하는 다수의 홀(228)이 관통하여 형성되어 있다. 통상적으로, 상기 리프트 핀(250)은 세라믹 또는 양극산화된 알루미늄으로 구성된다. 상기 리프트 핀(250)은 선택적 리프트 플레이트(254)에 의하여 지지 조립체(238)에 대해 작동되어 지지면(230)으로부터 돌출됨으로써, 지지 조립체(238)(238)에 대해 기판을 이격시킨다.
상기 덮개 조립체(210)는 프로세스 공간(212)의 상부 경계를 제공한다. 통상적으로, 상기 덮개 조립체(210)는 프로세싱 챔버(202)를 수리하기 위하여 제거되거나 개방될 수 있다. 일 실시예에서, 상기 덮개 조립체(210)는 알루미늄(Al)으로 제조된다. 상기 덮개 조립체(210)는 그 내부에 형성되어 외부 펌핑 시스템(미도시)과 연결된 펌핑 플레넘(214)을 포함한다. 상기 펌핑 플레넘(214)은 프로세스 공간(212)으로부터 가스 및 프로세싱 부산물을 프로세싱 챔버(202) 외부로 전달하기 위해 사용된다.
통상적으로, 상기 덮개 조립체(210)는 유입 포트(280)를 포함하며, 상기 유입 포트를 통하여 가스 소오스(204)에 의해 제공된 프로세스 가스가 프로세싱 챔버(202)속으로 유도된다. 또한, 상기 유입 포트(280)는 세정 소오스(282)에 연결된 다. 통상적으로, 상기 세정 소오스(282)는 해리된 플루오린과 같은 세정제를 제공하며, 상기 세정제는 프로세싱 챔버(202)속으로 유입되어 가스 분배판 조립체(218)를 포함하여 프로세싱 챔버 하드웨어로부터 증착 부산물과 필름을 제거하게 된다.
상기 가스 분배판 조립체(218)는 덮개 조립체(210)의 내측면(220)에 연결된다. 통상적으로, 상기 가스 분배판 조립체(218)는 기판(240)의 프로파일과 실질적으로 유사한 형태이며, 예를 들어, 광역 평면 패널 기판용으로서 다각형이거나 웨이퍼용으로서 원형이다. 상기 가스 분배판 조립체(218)는 천공 영역(216)을 포함하며, 이 천공 영역을 통하여 가스 소오스(204)로부터 공급된 프로세스 가스 및 기타 다른 가스가 프로세스 공간(212)으로 전달된다. 상기 가스 분배판 조립체(218)의 천공 영역(216)은 가스 분배판 조립체(218)를 통하여 프로세싱 챔버(202)속으로 균일한 가스의 분배가 이루어지도록 구성된다. 본 발명에 유리하게 채용될 수 있는 가스 분배판이, 켈러 등에 의해 2001년 8월 8일자에 미국특허출원 제6,772,827호로 출원되어 일반 양도된 미국특허 제6,772,827호; 브로니간 등에 의해 2003년 1월 7일자에 출원된 제10/337,483호; 및 2002년 5월 6일자에 출원된 제10/140,324호; 2002년 11월 12일자에 화이트 등에 허여된 미국특허 제6,477,980호; 및 최 등에 의해 2003년 4월 16일자로 출원된 미국특허출원 제10/417,592호;에 개시되어 있으며, 이들의 내용 전체가 본원에 참조되었다.
통상적으로, 상기 가스 분배판 조립체(218)는 행거 플레이트(260)로부터 현수된 확산판(258)을 포함한다. 선택적으로, 상기 확산판(258)과 행거 플레이트(260)는 단일의 일체형 부재로 구성될 수 있다. 상기 확산판(258)을 관통하여 다 수의 가스 통로(262)가 형성되며, 가스 분배판 조립체(218)를 통과하여 프로세스 공간(212)속으로 균일한 가스 분배가 이루어지도록 한다. 상기 행거 플레이트(260)는 확산판(258)과 덮개 조립체(210)의 내측면(220)을 이격되게 유지함으로써, 그들 사이에 플레넘(264)을 형성하게 된다. 상기 플레넘(264)은 덮개 조립체(210)를 통과한 가스가 확산판(258)의 폭 전체에 균일하게 분배될 수 있도록 하여, 가스가 천공 영역(216)의 중심부 위에 균일하게 제공되어 가스 통로(262)를 통하여 균일한 분포로 흐르도록 한다.
통상적으로, 상기 확산판(258)은 스테인레스 스틸, 알루미늄(Al), 양극산화된 알루미늄, 니켈(Ni) 또는 기타 다른 RF 전도성 재료로 제조된다. 상기 확산판(258)은 기판 프로세싱에 악영향을 미치지 않도록 통공(266)에서 충분한 평탄도를 유지하는 두께로 구성된다. 일 실시예에서, 상기 확산판(258)은 약 1.0인치 내지 약 2.0인치의 두께를 갖는다. 상기 확산판(258)은 반도체 웨이퍼 제조용으로서 원형이거나, 평면 패널 디스플레이 제조용으로서 직사각형과 같은 다각형일 수 있다. 도 2b는 폭(290)이 약 30인치이고 길이(292)가 약 36인치로 직사각형인 평면 패널 디스플레이용 확산판(258)의 예를 도시하고 있다. 도 2b에서 확산홀의 크기, 확산홀의 간격 및 확산판은 축척에 따라 도시되어 있지 않다.
도 3은 "회전가능한 가스 분배판 조립체"란 명칭으로 2003년 1월 7일자에 출원되어 일반 양도된 미국특허출원 제10/227,483호에 개시된 확산판(258)의 부분 단면도이다. 예를 들어, 1080 in2(예를 들면, 30인치×36인치)의 확산판에 있어서, 상기 확산판(258)은 약 16,000개의 가스 통로(262)를 포함한다. 대형 평면 패널을 프로세싱하기 위해 사용되는 대형 확산판에 있어서, 가스 통로(262)의 수는 100,000개 정도로 많을 수 있다. 일반적으로, 상기 가스 통로(262)는 확산판(258) 아래에 위치된 기판(240)상에 재료의 균일한 증착을 조장하도록 패터닝된다. 도 3을 참조하면, 일 실시예에서, 가스 통로(262)는 제한부(302), 플레어형 커넥터(303), 중앙 통로(304) 및 플레어형 개구(306)로 구성된다. 상기 제한부(302)는 확산판(258)의 제 1 측부(318)로부터 연장되어 중앙 통로(304)에 연결된다. 상기 중앙 통로(304)는 제한부(302)보다 직경이 더 크다. 상기 제한부(302)는 확산판(258)을 통하여 적당한 가스 유동이 이루어지도록 선택된 직경을 가지며, 천공된 중앙부(310)에서 방사상으로 균일한 가스 분배를 보장하기에 충분한 유동 저항을 제공한다. 예를 들어, 상기 제한부(302)의 직경은 약 0.016인치일 수 있다. 상기 플레어형 커넥터(303)는 제한부(302)를 중앙 통로(304)에 연결한다. 상기 플레어형 개구(306)는 중앙 통로(304)에 연결되며, 중앙 통로(304)로부터 확산판(258)의 제 2 측부(320)까지 방사상 외측으로 테이퍼진 직경을 갖는다. 상기 플레어형 개구(306)는 프로세스 공간(212)으로 유입되는 프로세스 가스의 플라즈마 이온화를 촉진한다. 또한, 상기 플레어형 개구(306)는 중공 음극 효과를 위한 넓은 표면적을 제공하여 플라즈마 방전을 증대시킨다.
전술한 바와 같이, 평면 패널 프로세싱을 위해 사용되는 대형 가스 분배판은 높은 제조비용을 유발하는 많은 제조상의 문제점을 갖는다. 각각의 가스 통로 (262)와 많은 수의 가스 통로(262), 예를 들어 30인치×36인치(또는 1080 in2) 확산판에 약 16,000개의 가스 통로를 형성하기 위하여, 제한부(302), 플레어형 커넥터(303), 중앙 통공(304) 및 플레어형 개구(306)를 드릴링하는데 4번의 드릴링 단계가 필요하기 때문에, 도 3의 4단 통공(quad-aperture) 확산판 구조의 제조비용은 상대적으로 높다.
도 4a는 본 발명의 확산판(258)의 부분 단면도이다. 상기 확산판(258)은 30인치×36인치(또는 1080 in2) 확산판에 약 12,000개의 가스 통로(262)를 포함한다. 일반적으로, 상기 가스 통로(262)는 확산판(258) 아래에 위치된 기판(240)상에 재료의 균일한 증착을 조장하도록 패터닝된다. 도 4a을 참조하면, 일 실시예에서, 상기 가스 통로(262)는 제한부(402)와 원뿔형 개구(406)로 구성된다. 상기 제한부(402)는 확산판(258)의 제 1 측부(418)로부터 연장되어 상기 원뿔형 개구(406)에 연결된다. 상기 제한부(402)는 확산판(258)을 통하여 적당한 가스 유동이 이루어지도록 선택된 약 0.030인치 내지 약 0.070인치의 직경을 가지며, 천공된 중앙부(410)에서 방사상으로 균일한 가스 분배를 보장하기에 충분한 유동 저항을 제공한다. 상기 확산판(258)의 제 1 측부(418)에서 확산홀의 제한부 엣지는 라운드처리될 수 있다. 상기 원뿔형 개구(406)는 제한부(402)에 연결되며, 제한부(402)로부터 확산판(258)의 제 2 측부(420)까지 방사상 외측으로 퍼져있다. 상기 원뿔형 개구(406)는 확산판(258)의 제 2 측부(420)에서 약 0.2인치 내지 약 0.4인치의 직경을 갖는다. 상기 제 2 측부(420)는 기판의 표면과 대면한다. 상기 원뿔형 개구 (406)의 퍼짐각(416)은 약 20°내지 약 35°이다.
이웃한 가스 통로(262)의 플레어형 엣지간의 간격은 가능한 작게 유지되어야 한다. 상기 플레어형 엣지는 라운드처리될 수 있다. 간격의 일예는 0.05인치이다. 이웃한 가스 통로(262)의 플레어형 엣지간의 최대 간격은 약 0.5인치이다. 상기 제한부(402)에 의해 제공된 전체 제한은 확산판(258) 상류의 배압에 직접적으로 영향을 미치며, 따라서 세정 과정중에 사용된 해리된 플루오린의 재결합을 방지하도록 구성되어야 한다. 상기 원뿔형 개구(406)의 길이(412)에 대한 제한부(402)의 길이(411)의 비는 약 0.8 내지 약 2.0이다. 길이(411)와 길이(412)의 합과 동일한 확산판의 전체 두께는 약 0.8인치 내지 약 1.6인치이다. 상기 원뿔형 개구(406)는 프로세스 공간(212)으로 유입되는 프로세스 가스의 플라즈마 이온화를 촉진한다. 상기 4단 개구 가스 통로 구조의 예는 제한부(402)의 직경이 0.042인치이고, 제한부(402)의 길이가 0.0565인치이며, 확산판(258)의 제 2 측부(420)에서 원뿔형 개구(406)의 직경이 0.302인치이고, 상기 원뿔형 개구의 길이가 0.0635인치이며, 퍼짐각(416)이 22°이다. 상기 예시적 확산판의 전체 두께는 1.2인치이다.
도 4b는 육각형 클로스 팩(close pack) 가스 확산판(258)의 예시적 실시예의 일부를 도시한 도면이다. 홀(450)(또는 전술한 가스 통로(262))은 면심 육각형(460) 패턴으로 배치된다. 도 4b에서 확산홀의 크기 및 확산홀의 간격은 축척에 따라 도시되어 있지 않다. 그러나, 동심원과 같은 다른 패턴의 가스 통로(262)(또는 홀(450)) 배열도 사용될 수 있다.
도 4c는 도 4a에 도시된 구조의 변형예를 도시한 도면이다. 제한부(402)와 원뿔형 개구(406)를 기계가공하는 제조과정에서, 제한부(402)와 원뿔형 개구(406)를 드릴링할 때 남은 버(burrs)를 다른 드릴을 사용하여 라운드처리(또는 제거)함으로써 플레어형 연결부(405)가 생성될 수 있다. 이 연결부(405)가 부가된 것을 제외하고, 도 4c의 나머지 구조 특성은 도 4a의 구조 특성과 동일하다.
도 3의 4단 통공 구조와 도 4a의 깔대기형 구조를 비교하면, 깔대기형 구조의 확산판이 4단 통공 구조의 확산판보다 제조가 더 용이하다. 도 4a의 깔대기형 구조는 제한부(402)와 원뿔부(406)를 포함하는 2개의 부분을 드릴링할 필요가 있는 반면, 도 3의 4단 통공 구조는 4개의 부분, 즉 제한부(302), 플레어형 커넥터(303), 중앙 통로(304) 및 플레어형 개구(306)의 드릴링이 필요하다. 제조 설계서에 부합하도록 2개의 부분을 드릴링하는 것은 제조 설계서에 부합하도록 4개의 부분을 드릴링하는 것보다 훨씬 용이하다. 또한, 도 4a의 깔대기형 구조는 홀의 전체 갯수가 적기 때문에 도 3의 4단 통공 구조보다 제조 수율이 더 높다. 예를 들어, 1080 in2(예를 들면, 30인치×36인치)의 확산판에 있어서, 상기 깔대기형 구조는 약 12,000개의 홀을 갖는 반면, 상기 4단 통공 구조는 약 16,000개의 홀을 갖는다. 상기 깔대기형 구조의 확산판은 4단 통공 구조의 확산판보다 약 30% 적은 홀을 갖는다. 또한, 도 4a의 깔대기형 구조는, 작은 제한부(302)(예를 들어, 0.016인치)에 비해 더 큰 제한부(402)(예를 들어, 0.040인치 및 0.055인치)로부터 파손된 드릴 비트를 제거함에 있어서 상대적으로 간단하기 때문에, 도 3의 4단 통공 구조보다 입자 문제가 덜하다.
더 높은 수율과 더 적은 입자 문제에 부가하여, 상기 깔대기형 구조의 프로세스 공간(212)에 노출된 확산판(258)의 전체 표면적은 4단 통공 구조보다 더 작으며, 이는 세정 프로세스로부터 확산판(또는 샤워헤드)상에 잔류하는 플루오린의 양을 저감시키게 된다. 저감된 잔류 플루오린은 증착 프로세스 과정에서 필름의 플루오린 결합을 크게 줄일 수 있다. SiO2, SiON 또는 SiN과 같은 게이트 유전(또는 절연) 필름에서의 플루오린 결합은 Vt(문턱 전압) 변화 및 Ion(구동 전류) 감소와 같은 박막 트랜지스터(TFT) 장치 성능을 저하시키는 결함 중심(defect centers)을 발생시킨다. SiO2, SiON 또는 SiN과 같은 게이트 유전 필름에 결합된 오염물이 1×1020 atom/㎤를 초과하면, TFT 장치 성능은 상당한 영향을 받을 수 있음이 밝혀졌다. 또한, 세정 가스가 가스 분배판을 통하여 흐를 때, 상기 4단 통공 구조도 높은 배압을 생성하게 된다. 판을 세정하기 위해 사용되는 해리된 플루오린은 배압이 높을 때 재결합되는 경향이 강하며, 이는 세정 효과를 불리하게 점감한다.
필름 증착 챔버는 챔버 표면을 따라 축적되는 필름을 줄이기 위해 주기적인 세정을 필요로 하며, 축적된 필름은 박리되어 프로세스 챔버내에서 입자 문제를 유발할 수 있다. 세정 프로세스의 예는 원격 플라즈마 소오스(RPS) 세정이며, 이는 NF3, SF6, F2, C2F6, C3F6 또는 C4F8O 등과 같은 플루오린 함유 가스로부터 발생된 플루오린 함유 플라즈마를 이용하여 세정한다. 세정 단계 후, 잔류 플루오린을 제거하기 위해 퍼지 가스가 사용되지만, 일부 잔류 플루오린종이 챔버와 확산판 표면 영역에 남을 수 있다. 도 5의 굵은 실선(501)은 프로세스 공간(212)에 노출된 깔대기형 구조의 확산면을 나타낸다. 표 1은 (제한부의 직경이 0.040인치와 0.055인치인) 2개의 깔대기형 구조와 4단 통공 구조에서 노출된 전체 표면적을 비교한 것이다. 양 깔대기형 구조에서 플레어형 단부의 직경은 0.302인치이고, 퍼짐각은 22°이다. 양 깔대기형 구조에서 제한부(402)의 길이는 0.565인치인 반면, 양 깔대기형 구조에서 플레어형 개구(406)의 길이는 0.635인치이다. 4단 통공 구조에 있어서, 제한부(302)의 직경은 0.016인치이고, 중앙 통로(304)의 직경은 0.156인치이며, 플레어형 개구(306)의 대직경은 0.25인치이고, 퍼짐각은 22°이며, 제한부의 길이는 0.046인치이고, 플레어형 커넥터(303)의 길이는 0.032인치이며, 중앙 통공(304)의 길이는 0.88인치이고, 플레어형 개구(306)의 길이는 0.242인치이다. 상기 4단 통공 구조가 가장 많은 수의 확산홀과 가장 넓은 전체 확산 표면적을 갖는다. 0.040인치 및 0.055인치 깔대기형 구조는 모두 상대적으로 근사한 노출된 전체 확산 표면적을 갖고, 이는 4단 통공 구조의 노출된 전체 확산 표면적의 약 절반이다.
확산기 유형 30×36 in2 확산판의 확산기 수 노출된 전체 확산 표면적(in2)
4단 통공 구조 16188 10594
0.055인치 깔대기형 구조 11824 5352
0.040인치 깔대기형 구조 11824 5666
표 1은 2개의 깔대기형 구조(0.040인치 및 0.055인치 제한부 직경)와 4단 통공 구조의 노출된 전체 표면적을 비교한 것이다.
도 6은 가스 확산판을 구비한 프로세스 챔버내에서 기판상에 박막을 증착하고, 세정이 필요할 때, 상기 프로세스 챔버를 세정하는, 프로세스 플로우(600)의 예를 도시한 도면이다. 프로세스는 단계(601)에서 시작되며, 확산판을 구비한 프로세스 챔버내에 기판을 위치시키는 단계(602)가 이어진다. 단계(603)는 프로세스 챔버내의 기판상에 박막을 증착하는 단계를 나타낸다. 단계(603) 이후, 단계(604)에서 시스템은 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정한다. 예정된 세정한계는 단계(606)에서 1개의 기판이거나 1개 이상의 기판이 될 수 있다. 세정한계에 도달하지 않았다면, 프로세스 시퀀스는 프로세스 챔버내에 다른 기판을 위치시키는 단계(602)로 돌아간다. 세정한계가 예정된 세정한계에 도달하였다면, 프로세스 시퀀스는 프로세스 챔버를 세정하는 단계(605)로 진행한다. 단계(605)에서 챔버를 세정한 후, 시스템은 프로세싱된 전체 기판의 수가 예정된 한계에 도달하였는지를 결정한다. 세정한계에 도달하지 않았다면, 프로세스 시퀀스는 증착 프로세스를 시작하는 단계(601)로 돌아간다. 세정한계가 예정된 한계에 도달하였다면, 증착 프로세스는 단계(607)에서 종료한다. 프로세스 플로우(600)는 개념을 설명하기 위한 예로서만 사용된다. 또한, 본 발명은 다른 프로세스 단계 또는 시퀀스를 포함하는 프로세스 플로우에 적용될 수 있으나, 증착 및 세정의 일반 개념에 부합된다.
도 7은 두가지 구조의 확산판으로 증착된, SiN 필름을 포함하는 필름 스택의 플루오린 성분의 2차 이온 질량 분석기(SIMS)의 분석결과를 도시한 그래프이다. 분석된 필름 스택은 유리 기판상의 약 500Å 인 도프된(n+) 비결정질 실리콘 필름, 약 2200Å 비결정질 실리콘 필름, 그리고 약 4500Å 실리콘 니트라이드 필름을 포함한다. 상기 비결정질 실리콘 필름과 실리콘 니트라이드 필름은 동일한 PECVD 챔버 내에서 동일한 확산판(또는 샤워헤드)으로 순차적으로 증착된다. 곡선(701)은 SiN 필름에서 0.055인치 깔대기형 구조의 플루오린 함량(1×1018 atom/㎤ 이하)을 나타내며, 이는 4단 통공 구조의 확산판으로 프로세싱된 필름(약 5×1019 atom/㎤)보다 한자리 이상 더 낮다. 상기 깔대기형 구조의 낮은 플루오린 함량은 4단 통공 구조에 비해 프로세스 공간(212)에 노출된 확산판(258)의 전체 표면적이 더 작기 때문일 것이다.
챔버의 세정은 NF3, SF6, F2, C2F6, C3F6 또는 C4F8O 등과 같은 플루오린 함유 가스로부터 발생된 플루오린 라디칼(F*)을 이용하는 원격 플라즈마 소오스(RPS) 세정에 의해 이루어진다. 상기 플루오린 함유 가스(또는 가스들)는 플라즈마를 유지하는데 도움이 되도록 아르곤(AR)과 같은 불활성 가스로 희석될 수 있다. 그러나, 상기 불활성 가스는 선택적 요소이다. 일반적으로, 세정 프로세스는 약 0 slm 내지 약 6 slm으로 흐르는 불활성 가스, 1 slm 내지 약 6 slm으로 흐르는 플루오린 함유 가스에 의해 이루어지며, 원격 플라즈마 소오스 발생기의 압력은 0.5 Torr 내지 20 Torr로 유지된다. 등식(1)은 세정 가스로서 NF3를 사용한 예를 나타낸다.
NF3 → N*+3F* (1)
또한, 상기 플루오린 라디칼(F*)은 재결합되어 플루오린 가스(F2)를 형성할 수 있으며, 이는 SiN 필름에 대하여 플루오린 라디칼(F*)과 동일한 세정 효과를 갖지 않는다. 플루오린 라디칼 재결합으로 인한 세정 효율의 저하는 비결정질 실리콘 필름 세정에서보다 SiN 필름 세정에서 더 강한데, 그 이유는 비결정질 실리콘이 열적 F2 프로세싱에 의해 세정될 수도 있기 때문이다. 등식(2)은 플루오린 라디칼 재결합 반응을 나타낸다.
2F* → F2 (2)
상기 플루오린 라디칼은 반응 챔버에 도달하기 전에 재결합될 수 있다. 임의의 다른 이론과 상충하길 원하지 않지만, 특허청구범위에 명시하지 않았다면, 확산기에서 더 좁은 통로와 플레넘(264)에서 더 높은 배압은 프로세스 공간(212)으로 유입되기 전에 플루오린 라디칼 재결합을 강화하여, 세정 효율을 저하시킬 수 있다.
표 2는 표 2 및 표 3에서 언급한 세가지 구조에 있어서 동일한 조건하에서 PECVD 챔버에 증착된 SiN 필름과 α-Si 필름에 대한 원격 플라즈마 소오스 세정율을 비교한 것이다. 상기 원격 플라즈마 소오스 세정종은 6 Torr로 유지되는 ASTeX 원격 플라즈마 소오스(RPS) 발생기 속으로 4 slm Ar과 4 slm NF3를 유동시킴으로써 발생된다. 상기 ASTeX 원격 플라즈마 소오스 발생기는 메사츄세츠 윌밍턴에 소재한 MKS 인스트루먼트 인코포레이티드에서 제조한 것이다.
필름 세정율(Å/min)
4단 통공 0.055인치 깔대기형 0.040인치 깔대기형
SiN 7806 9067 7517
α-Si 5893 6287 5595
표 2는 SiN 및 α-Si 필름에 대한 세가지 유형의 확산기 구조의 RPS 세정율을 비교한 것이다.
상기 결과는 0.055인치 깔대기형 확산기가 가장 우수한 세정 성능을 갖고 있으며, 그 다음 4단 통공 구조, 그리고 0.040인치 깔대기형이 가장 마지막임을 보여준다. 상기 결과는 4단 통공 및 0.040인치 깔대기형 구조에 비해 0.055인치 깔대기형 확산기의 배압이 더 낮고 제한 확산 경로가 더 작기 때문이며, 그로인해 F* 재결합이 더 적고 세정 효율이 더 높게 된다.
표 3은 RPS 플라즈마 온 및 오프 조건에 있어서, Ar 유동이 4 slm이고 NF3 유동이 0 내지 4 slm일 때, RPS 세정 프로세스의 배압(Pb)을 나타낸다.
유동(slm) Pb(mTorr) 4단 통공 Pb(mTorr) 0.055인치 깔대기형 Pb(mTorr) 0.040인치 깔대기형
NF3 Pb플라즈마 오프 Pb플라즈마 온 Pb플라즈마 오프 Pb플라즈마 온 Pb플라즈마 오프 Pb플라즈마 온
0 1280 1280 930 930 1260 1260
1 1530 1840 1070 1310 1450 1730
2 1770 2370 1200 1650 1640 2150
3 2000 2850 1330 1940 1810 2530
4 2220 3300 1470 2210 1960 2880
표 3은 RPS 플라즈마 온 및 오프 조건에 있어서, 상이한 NF3 유동하에서 세가지 유형의 확산기 구조의 배압을 비교한 것이다.
상기 0.055인치 깔대기형 확산기가 가장 낮은 배압을 갖고, 가장 적은 F* 재결합 및 가장 높은 SiN 필름 세정율을 갖는다. 그러나, 4단 통공 구조의 배압은 0.040인치 깔대기형 구조의 배압보다 더 높고, 상기 4단 통공 구조의 세정율이 0.040인치 깔대기형 구조보다 더 높다. 이는 단지 압력차에 기인한 재결합이 세정율의 결과를 설명하지 않음을 보여준다. 또한, 확산기 내에서의 재결합이 중요한 역할을 한다.
표 4는 4단 통공 및 0.040인치 깔대기형 구조의 확산 통로의 가장 좁은 직경, 길이 및 체적을 비교한 것이다. 0.040인치 깔대기형 구조가 4단 통공 구조에 비해 더 큰 통로 체적을 갖는다. 상기 더 큰 통로 체적은 좁은 확산 통로에서보다 추가의 플루오린 라디칼 재결합을 허용할 수 있으며, 세정율 결과에 영향을 미친다.
4단 통공 0.040인치 깔대기형
확산 통로에서 가장 좁은 직경(인치) 0.016 0.040
가장 좁은 확산 통로의 길이(인치) 0.046 0.565
가장 좁은 확산 통로의 체적(in3) 0.00001 0.00071
표 4는 4단 통공 및 0.040인치 깔대기형 구조에 있어서 확산기의 가장 좁은 부분의 직경, 길이 및 체적을 비교한 것이다.
또한, 세정율은 (NF3와 같은) 세정 가스 해리 효율에 따라 좌우된다. 표 5는 RPS 세정 프로세스하에서 세가지 구조의 (프로세스 공간(212)에서의) 챔버 압력 데이타를 보여준다. 모든 세가지 확산기 구조의 챔버 압력은 모두 유사한 범위내에 존재한다.
유동(slm) Pc(mTorr) 4단 통공 Pc(mTorr) 0.055인치 깔대기형 Pc(mTorr) 0.040인치 깔대기형
NF3 Pc플라즈마 오프 Pc플라즈마 온 Pc플라즈마 오프 Pc플라즈마 온 Pc플라즈마 오프 Pc플라즈마 온
0 345 345 330 330 323 323
1 391 460 374 451 365 430
2 438 584 420 567 409 536
3 483 692 464 676 452 635
4 528 796 506 773 494 731
표 5는 플라즈마가 온 및 오프일 때, 상이한 NF3 유동하에서 세가지 유형의 확산기 구조의 챔버 압력을 비교한 것이다.
NF3 해리 효율은 플라즈마가 오프일 때 순압력 증가에 대한 플라즈마가 온일 때 순압력 증가의 비에 직접 비례한다. 표 6은 4단 통공, 0.055인치 깔대기형 및 0.040인치 깔대기형 구조에 있어서, 플라즈마가 오프일 때 순압력 증가에 대한 플라즈마가 온일 때 순압력 증가의 비를 보여준다. △Pc플라즈마 온은 플라즈마가 온일 때 0 NF3 유동하의 챔버 압력에 대한 특정 NF3 유동하의 챔버 압력간의 압력차를 나타낸다. 이와 유사하게, △Pc플라즈마 오프는 플라즈마가 오프일 때 0 NF3 유동하의 챔버 압력에 대한 특정 NF3 유동하의 배압간의 압력차를 나타낸다. △Pc플라즈마 오프에 대한 △Pc플라즈마 온의 비율은 NF3 해리 효율의 양을 정한다. NF3 유량이 증가하면, 해리 효율은 감소한다. 해리 효율은 0.055인치 깔대기형 구조에서 가장 높고, 그 다음 4단 통공 구조 및 0.040인치 깔대기형 구조 순이다. 상기 NF3 해리 효율 데이타는 세정율 데이타와 상호 연관된다.
NF3 유량(slm) Pc플라즈마 온/ △Pc플라즈마 오프 4단 통공 Pc플라즈마 온/ △Pc플라즈마 오프 0.055인치 깔대기형 Pc플라즈마 온/ △Pc플라즈마 오프 0.040인치 깔대기형
1 2.50 2.75 2.55
2 2.57 2.63 2.48
3 2.51 2.58 2.42
4 2.46 2.52 2.39
표 6은 세가지 유형에 있어서 플라즈마가 오프일 때 순압력 증가에 대한 플라즈마가 온일 때 순압력 증가의 비율을 비교한 것이다.
세정 효율과 아울러, 증착 성능이 요구조건을 충족하는지를 확인하기 위하여, 증착 성능에 대한 확산기 구조의 영향도 검사되어야 한다. 표 7은 세가지 확산기 구조에 대하여 동일한 프로세스 조건하에서 상이한 확산기 구조를 사용하여 SiN 및 α-Si 증착 균일도 및 증착율을 비교한 것이다. SiN 필름은 1.5 Torr 및 3050와트 소오스 파워하에서 600 sccm SiH4, 2660 sccm NH3 및 6660 sccm N2를 사용하여 증착된다. 확산판과 지지 조립체간의 간격은 1.09인치이다. 프로세스 온도는 약 355℃로 유지된다. α-Si 필름은 3.0 Torr 및 950와트 소오스 파워하에서 1170 sccm SiH4 및 4080 sccm H2를 사용하여 증착된다. 확산판과 지지 조립체간의 간격은 1.09인치이다. 프로세스 온도는 약 355℃로 유지된다.
필름 4단 통공 0.055인치 깔대기형 0.040인치 깔대기형
균일도 (%) 증착율 (Å/min) 균일도 (%) 증착율 (Å/min) 균일도 (%) 증착율 (Å/min)
SiN 3.8 1746 4.3 1738 3.2 1740
α-Si 3.9 1272 4.5 1261 4.4 1226
표 7은 세가지 구조에 대하여 SiN 및 α-Si 필름의 증착 균일도 및 증착율을 비교한 것이다.
상기 세가지 구조의 증착율과 균일도가 상대적으로 거의 유사하다는 결과가 나타났다. 증착율은 세가지 구조에서 거의 동일하다. 0.055인치 깔대기형 구조의 균일도는 4단 통공 구조보다 더 좋지 않다. 그러나, 균일도는 제한부(402)(0.040인치 대 0.055인치)의 직경을 좁힘으로써 개선될 수 있다. 0.040인치 깔대기형 구조의 균일도(3.2% 및 4.4%)는 0.055인치 깔대기형 구조(4.3% 및 4.5%)보다 더 우수하다. SiN 필름에 있어서, 0.040인치 깔대기형 구조(3.2%)가 4단 통공 구조(3.8%)보다 더 우수하다. 필름 응력, 굴절률 및 습식 에칭율과 같은 다른 필름 특성은 세가지 구조에 있어서 동등하다. 상기 결과는 필름 균일도가 확산기 구조에 의해 영향을 받으며, 제한부의 직경을 조절함으로써 조정될 수 있음을 보여준다. 또한, 상기 결과는 깔대기형 구조가 4단 통공 구조와 동일한 증착 특성, 즉 균일도, 증착율, 필름 응력, 굴절률 및 습식 에칭율을 가질 수 있음을 보여준다.
확산기 구조와 아울러, 프로세스 압력도 증착율 및 균일도에 영향을 미칠 수 있다. 표 8은 0.055인치 깔대기형 구조의 확산기에서 균일도 및 증착율에 대한 프로세스 압력(또는 챔버 압력)의 영향을 보여준다. 챔버 압력이 낮으면, 균일도는 더 우수해지고, 증착율은 더 낮아진다.
챔버 압력(Torr) 균일도(%) 증착율(Å/min)
1.2 3.9 1545
1.5 5.5 1756
1.8 5.1 1784
표 8은 0.055인치 깔대기형 구조의 확산판을 이용한 SiN 필름의 증착 압력, 균일도 및 증착율을 보여준다.
상기 깔대기형 구조의 확산판은 4단 통공 구조의 확산판보다 제조가 더 용이하다. 따라서, 깔대기형 구조의 확산판의 제조수율과 비용이 개선된다. 제조의 용이성과 아울러, 상기 깔대기형 구조의 확산판은 RPS 세정후 확산판에 잔류 플루오린이 적다는 잇점이 있다. 이는 게이트 유전 필름에서의 적은 플루오린 결합과 개선된 장치 성능에 기인한다. 상기 깔대기형 구조는, 선택된 제한부(402)의 직경에 따라, 4단 통공 구조에 비해 더 우수하거나 대등한 세정율과 효율을 가질 수 있다. 또한, 상기 깔대기형 구조는 4단 통공 구조와 대등한 증착율 및 균일도 성능을 가질 수 있다.
넓은 표면적을 가진 평면 패널 디스플레이를 위하여, 넓은 상부 표면적을 가진 확산판(258)이 필요하다. 상부 표면적이 증가하면, 확산판을 지지하는 강도를 유지하기 위하여 확산판(258)의 두께가 증가할 수 있다. 도 8a는 두꺼운 확산판을 위한 도 4a의 깔대기형 구조의 변형을 도시한 도면이다. 도 8a의 대응하는 모든 구조 특성은 도 4a와 동일하다. 제한부(802), 플레어부(806) 및 퍼짐각(816)을 설계하기 위해 사용된 가이드라인은 각각 도 4a의 제한부(402), 원뿔형 개구(406) 및 퍼짐각(416)을 설계하기 위해 사용된 가이드라인과 유사하다. 상기 플레어부(806)의 현재 바람직한 구조는 도 8a에 도시된 원뿔형 단면이다. 그러나, 포물선과 같은 오목 단면 및 볼록 단면을 포함하는 다른 구조도 사용될 수 있다. 도 8a와 도 4a의 차이는 도 8a가 길이(801)만큼 더 두껍다는 것이다. 제한부(802)와 확산판(258)의 제 1 측부(818) 사이에 대직경 섹션(804)이 생성될 수 있다. 상기 대직경 섹션(804)은 플레어형 커넥터(803)에 의해 제한부(802)로 연결된다. 상기 제한부(802)와 대직경 섹션(804)을 기계가공하는 제조과정에서, 섹션(802, 804)을 드릴링할 때 남은 버(burrs)를 다른 드릴을 사용하여 라운드처리(또는 제거)함으로써 플레어형 연결부(803)가 생성된다. 상기 대직경 섹션(804)이 제한부(802)보다 더 큰 직경을 갖기 때문에, 이는 제조시간을 단지 약간 증가시키며 제조수율에 영향을 미치지 않는다. 상기 대직경 섹션(804)의 직경은 제한부(802) 직경의 2배 이상이 됨으로써, 도 4a의 깔대기형 구조와 비교할 때, 대직경 섹션의 추가가 프로세싱 과정에서 배압 및 챔버 압력을 변화시키지 않도록 보장한다. 이로 인하여, 도 8a의 구조를 사용하여 증착된 필름의 품질과 증착 프로세스는 도 4a의 깔대기형 구조로 증착된 필름의 품질 및 증착 프로세스와 유사하다. 상기 대직경 섹션(804)는 약 0.06인치 내지 약 0.3인치의 직경을 갖는다. 상기 확산판(258)의 제 1 측부(818)의 확산홀의 대직경 섹션(804)의 엣지는 라운드처리될 수 있다. 제한부(802)의 길이(811)에 대한 대직경 섹션의 길이(801)의 비는 약 0.3 내지 약 1.5이다. 길이(801), 길이(811) 및 길이(812)의 합과 동일한 확산판의 전체 두께는 약 1.0인치 내지 약 2.2인치이다.
도 8b는 도 8a에 도시된 구조의 변형예이다. 제한부(802)와 플레어부(806)를 기계가공하는 제조과정에서, 섹션(802, 806)을 드릴링할 때 남은 버(burrs)를 다른 드릴을 사용하여 라운드처리(또는 제거)함으로써 플레어형 연결부(805)가 생성될 수 있다. 이 연결부(805)를 추가한 것 이외에, 도 8b의 나머지 구조 특성은 도 8a의 구조 특성과 동일하다.
본 발명의 특징을 포함한 수개의 바람직한 실시예를 도시하고 상세하게 설명하였으나, 당업자는 이러한 특징을 포함하는 다른 많은 변형된 실시예를 용이하게 안출할 수 있을 것이다.
본 발명에 따른 광역 플라즈마 강화 화학 기상 증착용 가스 확산 샤워헤드의 구조는 제조비용이 저렴하고, 우수한 증착 및 세정 성능을 가지며, 특히 상대적으로 제조가 용이하고, 우수한 챔버 세정율, 우수한 박막 증착 균일도 및 우수한 박막 증착율을 제공한다.

Claims (80)

  1. 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서,
    상류 측부와 하류 측부를 가진 확산판; 및
    상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 가스 분배판 조립체.
  2. 제 1 항에 있어서, 상기 원통 형상의 직경이 약 0.030인치 내지 약 0.070인치인, 가스 분배판 조립체.
  3. 제 1 항에 있어서, 상기 원뿔형 부분의 하류 단부의 직경이 약 0.2인치 내지 약 0.4인치인, 가스 분배판 조립체.
  4. 제 3 항에 있어서, 상기 원뿔 형상이 약 20°내지 약 35°로 퍼진, 가스 분배판 조립체.
  5. 제 1 항에 있어서, 상기 원뿔 형상의 길이에 대한 원통 형상의 길이의 비가 약 0.8 내지 약 2.0인, 가스 분배판 조립체.
  6. 제 1 항에 있어서, 이웃한 가스 통로의 원뿔형 부분의 하류 단부간의 거리가 최대 약 0.5인치인, 가스 분배판 조립체.
  7. 제 1 항에 있어서, 상기 확산판의 두께가 약 0.8인치 내지 약 1.6인치인, 가스 분배판 조립체.
  8. 제 1 항에 있어서, 상기 확산판이 다각형인, 가스 분배판 조립체.
  9. 제 1 항에 있어서, 상기 확산판을 통하여 형성된 상기 원통 형상이 상기 동축 플레어 형상과 상이한 유동 제한 특성을 가진, 가스 분배판 조립체.
  10. 제 8 항에 있어서, 상기 확산판이 직사각형인, 가스 분배판 조립체.
  11. 제 10 항에 있어서, 상기 가스 확산판의 크기가 1080 in2 이상인, 가스 분배판 조립체.
  12. 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서,
    플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 플라즈마 프로세스 챔버내에서 상류 측부와 하류 측부를 가진 확산판; 및
    상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 가스 분배판 조립체.
  13. 제 12 항에 있어서, 상기 원통 형상의 직경이 약 0.030인치 내지 약 0.070인치인, 가스 분배판 조립체.
  14. 제 12 항에 있어서, 상기 원뿔형 부분의 하류 단부의 직경이 약 0.2인치 내지 약 0.4인치인, 가스 분배판 조립체.
  15. 제 14 항에 있어서, 상기 원뿔 형상이 약 20°내지 약 35°로 퍼진, 가스 분배판 조립체.
  16. 제 12 항에 있어서, 상기 원뿔 형상의 길이에 대한 원통 형상의 길이의 비가 약 0.8 내지 약 2.0인, 가스 분배판 조립체.
  17. 제 12 항에 있어서, 이웃한 가스 통로의 원뿔형 부분의 하류 단부간의 거리가 최대 약 0.5인치인, 가스 분배판 조립체.
  18. 제 12 항에 있어서, 상기 확산판의 두께가 약 0.8인치 내지 약 1.6인치인, 가스 분배판 조립체.
  19. 제 12 항에 있어서, 상기 확산판이 다각형인, 가스 분배판 조립체.
  20. 제 12 항에 있어서, 상기 확산판을 통하여 형성된 상기 원통 형상이 상기 동축 플레어 형상과 상이한 유동 제한 특성을 가진, 가스 분배판 조립체.
  21. 제 19 항에 있어서, 상기 확산판이 직사각형인, 가스 분배판 조립체.
  22. 제 21 항에 있어서, 상기 가스 확산판의 크기가 1080 in2 이상인, 가스 분배판 조립체.
  23. 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서,
    상류 측부와 하류 측부를 가진 확산판; 및
    상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 가스 분배판 조립체.
  24. 제 23 항에 있어서, 상기 제 1 원통 형상의 직경이 약 0.06인치 내지 약 0.3인치인, 가스 분배판 조립체.
  25. 제 23 항에 있어서, 상기 제 2 원통 형상의 직경이 약 0.030인치 내지 약 0.070인치인, 가스 분배판 조립체.
  26. 제 23 항에 있어서, 상기 제 2 원통 형상의 길이에 대한 제 1 원통 형상의 길이의 비가 약 0.3 내지 약 1.5인, 가스 분배판 조립체.
  27. 제 23 항에 있어서, 상기 원뿔형 부분의 하류 단부의 직경이 약 0.2인치 내지 약 0.4인치인, 가스 분배판 조립체.
  28. 제 27 항에 있어서, 상기 원뿔 형상이 약 20°내지 약 35°로 퍼진, 가스 분배판 조립체.
  29. 제 23 항에 있어서, 상기 원뿔 형상의 길이에 대한 제 2 원통 형상의 길이의 비가 약 0.8 내지 약 2.0인, 가스 분배판 조립체.
  30. 제 23 항에 있어서, 이웃한 가스 통로의 원뿔형 부분의 하류 단부간의 거리가 최대 약 0.5인치인, 가스 분배판 조립체.
  31. 제 23 항에 있어서, 상기 확산판의 두께가 약 1.0인치 내지 약 2.2인치인, 가스 분배판 조립체.
  32. 제 23 항에 있어서, 상기 확산판이 다각형인, 가스 분배판 조립체.
  33. 제 23 항에 있어서, 상기 확산판을 통하여 형성된 상기 원통 형상이 상기 동축 플레어 형상과 상이한 유동 제한 특성을 가진, 가스 분배판 조립체.
  34. 제 32 항에 있어서, 상기 확산판이 직사각형인, 가스 분배판 조립체.
  35. 제 34 항에 있어서, 상기 가스 확산판의 크기가 1080 in2 이상인, 가스 분배판 조립체.
  36. 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서,
    플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 플라즈마 프로세스 챔버내에서 상류 측부와 하류 측부를 가진 확산판; 및
    상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 가스 분배판 조립체.
  37. 제 36 항에 있어서, 상기 제 1 원통 형상의 직경이 약 0.06인치 내지 약 0.3 인치인, 가스 분배판 조립체.
  38. 제 36 항에 있어서, 상기 제 2 원통 형상의 직경이 약 0.030인치 내지 약 0.070인치인, 가스 분배판 조립체.
  39. 제 36 항에 있어서, 상기 제 2 원통 형상의 길이에 대한 제 1 원통 형상의 길이의 비가 약 0.3 내지 약 1.5인, 가스 분배판 조립체.
  40. 제 36 항에 있어서, 상기 원뿔형 부분의 하류 단부의 직경이 약 0.2인치 내지 약 0.4인치인, 가스 분배판 조립체.
  41. 제 36 항에 있어서, 상기 원뿔 형상이 약 20°내지 약 35°로 퍼진, 가스 분배판 조립체.
  42. 제 36 항에 있어서, 상기 원뿔 형상의 길이에 대한 제 2 원통 형상의 길이의 비가 약 0.8 내지 약 2.0인, 가스 분배판 조립체.
  43. 제 36 항에 있어서, 이웃한 가스 통로의 원뿔형 부분의 하류 단부간의 거리가 최대 약 0.5인치인, 가스 분배판 조립체.
  44. 제 36 항에 있어서, 상기 확산판의 두께가 약 1.0인치 내지 약 2.2인치인, 가스 분배판 조립체.
  45. 제 36 항에 있어서, 상기 확산판이 다각형인, 가스 분배판 조립체.
  46. 제 36 항에 있어서, 상기 확산판을 통하여 형성된 상기 원통 형상이 상기 동축 플레어 형상과 상이한 유동 제한 특성을 가진, 가스 분배판 조립체.
  47. 제 45 항에 있어서, 상기 확산판이 직사각형인, 가스 분배판 조립체.
  48. 제 47 항에 있어서, 상기 가스 확산판의 크기가 1080 in2 이상인, 가스 분배판 조립체.
  49. 기판상에 박막을 증착하는 방법으로서,
    상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비한 프로세스 챔버내에 기판을 위치시키는 단계; 및
    상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부 에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 박막 증착 방법.
  50. 제 49 항에 있어서, 상기 프로세스 챔버가 원격 플라즈마 소오스에 연결되고, 상기 원격 플라즈마 소오스가 플루오린 소오스에 연결된, 박막 증착 방법.
  51. 제 50 항에 있어서, 상기 확산판이 직사각형인, 박막 증착 방법.
  52. 제 51 항에 있어서, 상기 프로세스 챔버가 플라즈마 강화 화학 기상 증착 챔버인, 박막 증착 방법.
  53. 제 51 항에 있어서, 상기 박막이 기판상에 증착되어 평면 패널 디스플레이를 생성하는, 박막 증착 방법.
  54. 제 51 항에 있어서, 상기 원통 형상의 직경을 조절함으로써, 박막 증착율과 균일도가 변화될 수 있는, 박막 증착 방법.
  55. 제 51 항에 있어서, 상기 박막이 실리콘 디옥사이드(SiO2), 실리콘 옥시니트 라이드(SiON), 실리콘 니트라이드(SiN), 비결정질 실리콘(α-Si) 또는 도프된 비결정질 실리콘(도프된 α-Si)일 수 있는, 박막 증착 방법.
  56. 제 51 항에 있어서, 상기 박막이 1×1020 atom/cc 이하 농도의 플루오린과 같은 세정 잔류 오염물을 갖는, 박막 증착 방법.
  57. 제 51 항에 있어서, 상기 플루오린과 같은 세정 잔류 오염물의 농도가, 상기 원뿔 형상의 퍼짐각과 상기 원통 형상의 직경을 조절함으로써, 1×1020 atom/cc 이하로 변화될 수 있는, 박막 증착 방법.
  58. 기판상에 박막을 증착하는 방법으로서,
    상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비한 프로세스 챔버내에 기판을 위치시키는 단계; 및
    상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상 과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 박막 증착 방법.
  59. 제 58 항에 있어서, 상기 프로세스 챔버가 실리콘 소오스, 원격 플라즈마 소오스에 연결되고, 상기 원격 플라즈마 소오스가 플루오린 소오스에 연결된, 박막 증착 방법.
  60. 제 59 항에 있어서, 상기 확산판이 직사각형인, 박막 증착 방법.
  61. 제 60 항에 있어서, 상기 프로세스 챔버가 플라즈마 강화 화학 기상 증착 챔버인, 박막 증착 방법.
  62. 제 60 항에 있어서, 상기 박막이 기판상에 증착되어 평면 패널 디스플레이를 생성하는, 박막 증착 방법.
  63. 제 60 항에 있어서, 상기 원통 형상의 직경을 조절함으로써, 박막 증착율과 균일도가 변화될 수 있는, 박막 증착 방법.
  64. 제 60 항에 있어서, 상기 박막이 실리콘 디옥사이드(SiO2), 실리콘 옥시니트 라이드(SiON), 실리콘 니트라이드(SiN), 비결정질 실리콘(α-Si) 또는 도프된 비결정질 실리콘(도프된 α-Si)일 수 있는, 박막 증착 방법.
  65. 제 60 항에 있어서, 상기 박막이 1×1020 atom/cc 이하 농도의 플루오린과 같은 세정 잔류 오염물을 갖는, 박막 증착 방법.
  66. 제 60 항에 있어서, 상기 플루오린과 같은 세정 잔류 오염물의 농도가, 상기 원뿔 형상의 퍼짐각과 상기 원통 형상의 직경을 조절함으로써, 1×1020 atom/cc 이하로 변화될 수 있는, 박막 증착 방법.
  67. 프로세스 챔버를 세정하는 방법으로서,
    상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비하고 플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 프로세스 챔버내에 기판을 위치시키는 단계;
    상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계;
    상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계;
    상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하지 않았다면, 프로세싱된 기판의 수가 예정된 세정한계에 도달할 때까지, 상기 프로세스 챔버내에 기판 을 위치시키는 단계, 상기 기판상에 박막을 증착하는 단계 및 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계를 반복하는 단계; 및
    상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였다면, 상기 프로세싱 챔버를 세정하는 단계;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 원통 형상과, 상기 확산판의 나머지 길이에 대하여 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 원통형 부분과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 프로세스 챔버 세정 방법.
  68. 제 67 항에 있어서, 상기 확산판이 직사각형인, 프로세스 챔버 세정 방법.
  69. 제 67 항에 있어서, 상기 프로세스 챔버가 플라즈마 강화 화학 기상 증착 챔버인, 프로세스 챔버 세정 방법.
  70. 제 67 항에 있어서, 상기 증착된 박막이 실리콘 디옥사이드(SiO2), 실리콘 옥시니트라이드(SiON), 실리콘 니트라이드(SiN), 비결정질 실리콘(α-Si) 또는 도프된 비결정질 실리콘(도프된 α-Si)일 수 있는, 프로세스 챔버 세정 방법.
  71. 제 67 항에 있어서, 상기 세정이 약 0 slm 내지 약 6 slm으로 흐르는 불활성 가스, 1 slm 내지 약 6 slm으로 흐르는 플루오린 함유 가스에 의한 원격 플라즈마 소오스 세정 프로세스에 의해 이루어지며, 원격 플라즈마 소오스 발생기의 압력이 0.5 Torr 내지 20 Torr로 유지되는, 프로세스 챔버 세정 방법.
  72. 제 71 항에 있어서, 상기 불활성 가스가 아르곤이며, 상기 플루오린 함유 가스가 NF3인, 프로세스 챔버 세정 방법.
  73. 제 67 항에 있어서, 상기 원통 형상의 직경을 조절함으로써, 세정율이 변화될 수 있는, 프로세스 챔버 세정 방법.
  74. 프로세스 챔버를 세정하는 방법으로서,
    상류 측부와 하류 측부 및 상기 상류 측부와 하류 측부 사이를 관통하는 다수의 가스 통로를 가진 확산판을 구비하고 플루오린 소오스에 연결되어 있는 원격 플라즈마 소오스에 연결된 프로세스 챔버내에 기판을 위치시키는 단계;
    상기 프로세스 챔버내의 기판상에 박막을 증착하는 단계;
    상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계;
    상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하지 않았다면, 프로세 싱된 기판의 수가 예정된 세정한계에 도달할 때까지, 상기 프로세스 챔버내에 기판을 위치시키는 단계, 상기 기판상에 박막을 증착하는 단계 및 프로세싱된 기판의 수가 예정된 세정한계에 도달하였는지를 결정하는 단계를 반복하는 단계; 및
    상기 프로세싱된 기판의 수가 예정된 세정한계에 도달하였다면, 상기 프로세싱 챔버를 세정하는 단계;를 포함하며,
    상기 가스 통로중 하나 이상은 상기 상류 측부로부터 연장된 그 길이의 일부에 대하여 제 1 원통 형상과, 상기 제 1 원통 형상에 연결된 작은 직경의 제 2 동축 원통 형상과, 상기 확산판의 나머지 길이에 대하여 상기 제 2 원통 형상에 연결된 동축 원뿔 형상을 갖고, 상기 원뿔형 부분의 상류 단부는 상기 제 2 원통 형상과 실질적으로 동일한 직경을 가지며, 상기 원뿔형 부분의 하류 단부는 더 큰 직경을 갖는, 프로세스 챔버 세정 방법.
  75. 제 74 항에 있어서, 상기 확산판이 직사각형인, 프로세스 챔버 세정 방법.
  76. 제 74 항에 있어서, 상기 프로세스 챔버가 플라즈마 강화 화학 기상 증착 챔버인, 프로세스 챔버 세정 방법.
  77. 제 74 항에 있어서, 상기 증착된 박막이 실리콘 디옥사이드(SiO2), 실리콘 옥시니트라이드(SiON), 실리콘 니트라이드(SiN), 비결정질 실리콘(α-Si) 또는 도 프된 비결정질 실리콘(도프된 α-Si)일 수 있는, 프로세스 챔버 세정 방법.
  78. 제 74 항에 있어서, 상기 세정이 약 0 slm 내지 약 6 slm으로 흐르는 불활성 가스, 1 slm 내지 약 6 slm으로 흐르는 플루오린 함유 가스에 의한 원격 플라즈마 소오스 세정 프로세스에 의해 이루어지며, 원격 플라즈마 소오스 발생기의 압력이 0.5 Torr 내지 20 Torr로 유지되는, 프로세스 챔버 세정 방법.
  79. 제 78 항에 있어서, 상기 불활성 가스가 아르곤이며, 상기 플루오린 함유 가스가 NF3인, 프로세스 챔버 세정 방법.
  80. 제 74 항에 있어서, 상기 원통 형상의 직경을 조절함으로써, 세정율이 변화될 수 있는, 프로세스 챔버 세정 방법.
KR1020050030306A 2004-04-12 2005-04-12 광역 플라즈마 강화 화학 기상 증착용 가스 확산샤워헤드의 구조 KR100658239B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/823,347 2004-04-12
US10/823,347 US20050223986A1 (en) 2004-04-12 2004-04-12 Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition

Publications (2)

Publication Number Publication Date
KR20060045618A true KR20060045618A (ko) 2006-05-17
KR100658239B1 KR100658239B1 (ko) 2006-12-14

Family

ID=35059265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050030306A KR100658239B1 (ko) 2004-04-12 2005-04-12 광역 플라즈마 강화 화학 기상 증착용 가스 확산샤워헤드의 구조

Country Status (5)

Country Link
US (3) US20050223986A1 (ko)
JP (1) JP5002132B2 (ko)
KR (1) KR100658239B1 (ko)
CN (1) CN1715442B (ko)
TW (1) TWI301294B (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100953828B1 (ko) * 2008-01-15 2010-04-20 주식회사 테스 플라즈마 처리장치
KR20110139484A (ko) * 2010-06-23 2011-12-29 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
KR20130105409A (ko) * 2012-03-13 2013-09-25 유니버셜 디스플레이 코포레이션 유기 증기 제트 프린팅용 노즐 구성
US9061255B2 (en) 2010-07-15 2015-06-23 Korea Institute Of Machinery & Materials Rotating unit-based micro-sized bubble generator
JP2016119475A (ja) * 2014-12-22 2016-06-30 群創光電股▲ふん▼有限公司Innolux Corporation 表示パネル
KR20160142059A (ko) * 2015-06-02 2016-12-12 에이피시스템 주식회사 박막 증착장치 및 박막 증착방법
KR20180129408A (ko) * 2017-05-26 2018-12-05 제주대학교 산학협력단 원자층 증착용 헤드 및 이를 갖는 원자층 증착 장치
KR20190004836A (ko) * 2016-06-03 2019-01-14 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
KR20190048499A (ko) * 2017-10-31 2019-05-09 제주대학교 산학협력단 원자층 증착 헤드 및 이를 포함하는 원자층 증착 장치

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005167019A (ja) * 2003-12-03 2005-06-23 Sharp Corp トランジスタおよびそのゲート絶縁膜の成膜に用いるcvd装置
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
CN101292059A (zh) * 2005-10-17 2008-10-22 Oc欧瑞康巴尔斯公司 用于利用远程等离子体源的大面积等离子体增强化学气相沉积装置的清洗器具
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
JP4550040B2 (ja) * 2005-12-16 2010-09-22 セメス株式会社 カーボンナノチューブの合成装置及び方法
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
JPWO2008123142A1 (ja) 2007-03-27 2010-07-15 積水化学工業株式会社 プラズマ処理装置
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7588957B2 (en) * 2007-10-17 2009-09-15 Applied Materials, Inc. CVD process gas flow, pumping and/or boosting
CN101971298A (zh) * 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
CN101492812B (zh) * 2008-11-24 2011-03-23 招商局漳州开发区创大太阳能有限公司 一种可连续大面积均匀化学气相沉积的喷头系统
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
TWI490366B (zh) * 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
CN102776483A (zh) * 2011-05-09 2012-11-14 无锡尚德太阳能电力有限公司 等离子体辅助气相传输沉积装置及方法
WO2012156062A1 (de) * 2011-05-13 2012-11-22 Leybold Optics Gmbh Verfahren zur plasmabehandlung eines substrats in einer plasmavorrichtung
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
KR20140058647A (ko) * 2011-09-07 2014-05-14 어플라이드 머티어리얼스, 인코포레이티드 선형 증착 챔버에서 가스를 분배하고 플라즈마를 적용하기 위한 장치 및 방법
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
CN104233229A (zh) * 2013-06-24 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及等离子体加工设备
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US20150280051A1 (en) * 2014-04-01 2015-10-01 Tsmc Solar Ltd. Diffuser head apparatus and method of gas distribution
JP6137066B2 (ja) * 2014-06-23 2017-05-31 住友金属鉱山株式会社 ガス放出パイプ及びこれを具備する成膜装置並びにこの装置を用いた酸化物膜又は窒化物膜の成膜方法
US9502686B2 (en) 2014-07-03 2016-11-22 Applied Materials, Inc. Fluorine-containing polymerized HMDSO applications for OLED thin film encapsulation
CN105446275B (zh) * 2014-08-12 2018-05-25 北京北方华创微电子装备有限公司 气路界面显示方法和系统
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
JP6550962B2 (ja) * 2015-06-24 2019-07-31 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
CN106887396A (zh) * 2015-12-16 2017-06-23 浙江鸿禧能源股份有限公司 一种新型的臭氧发生器喷气板的设计方法
CN105506577B (zh) * 2016-03-02 2018-01-23 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN105845609B (zh) * 2016-05-27 2019-08-20 京东方科技集团股份有限公司 支撑设备及支撑方法
US20180090300A1 (en) * 2016-09-27 2018-03-29 Applied Materials, Inc. Diffuser With Corner HCG
KR101753249B1 (ko) * 2017-01-26 2017-09-18 이선영 반도체 공정챔버 샤워헤드
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
KR101774331B1 (ko) 2017-06-27 2017-09-04 이선영 반응가스 주입용 반도체 공정챔버 샤워헤드
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
KR102455239B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN110430651B (zh) * 2019-07-29 2023-05-05 四川大学 平行板dbd等离子体发生器
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11685994B2 (en) * 2019-09-13 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. CVD device pumping liner
KR20210092693A (ko) * 2020-01-15 2021-07-26 에이에스엠 아이피 홀딩 비.브이. 샤워헤드 어셈블리 및 부품
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
CN114107953A (zh) * 2021-09-18 2022-03-01 江苏微导纳米科技股份有限公司 原子层沉积装置及其喷淋板
US20230122134A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Deposition chamber system diffuser with increased power efficiency

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS602489B2 (ja) * 1977-05-02 1985-01-22 株式会社豊田中央研究所 低騒音用圧力流体放出装置
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
AU7212487A (en) * 1986-04-28 1987-10-29 Western Packaging Systems Ltd. Low pressure atomization nozzle
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0435029A (ja) * 1990-05-31 1992-02-05 Hitachi Electron Eng Co Ltd プラズマcvd装置のシャワー電極構造
US5359254A (en) * 1990-06-26 1994-10-25 Research Institute Of Applied Mechanics And Electrodynamics Plasma compensation cathode
GB9202434D0 (en) * 1992-02-05 1992-03-18 Xaar Ltd Method of and apparatus for forming nozzles
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
DE69629412T2 (de) * 1995-04-20 2004-06-24 Ebara Corp. Anlage zur Dampfabscheidung von Dünnschichten
US5573682A (en) * 1995-04-20 1996-11-12 Plasma Processes Plasma spray nozzle with low overspray and collimated flow
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
JP3649267B2 (ja) * 1996-10-11 2005-05-18 株式会社荏原製作所 反応ガス噴射ヘッド
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
USRE40046E1 (en) * 1997-04-11 2008-02-12 Tokyo Electron Limited Processing system
JP3108389B2 (ja) * 1997-07-08 2000-11-13 アイシン精機株式会社 後輪操舵装置
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
FR2781707B1 (fr) * 1998-07-30 2000-09-08 Snecma Procede d'usinage par laser excimere de trous ou de formes a profil variable
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
DE19937961A1 (de) * 1999-08-11 2001-02-15 Bosch Gmbh Robert Brennstoffeinspritzventil und Verfahren zur Herstellung von Austrittsöffnungen an Ventilen
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6460369B2 (en) * 1999-11-03 2002-10-08 Applied Materials, Inc. Consecutive deposition system
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4145457B2 (ja) * 2000-02-08 2008-09-03 信越化学工業株式会社 プラズマエッチング装置用電極板
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6998579B2 (en) * 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
JP2002280377A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
US6610354B2 (en) * 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
US7103443B2 (en) * 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP3689354B2 (ja) * 2001-08-06 2005-08-31 シャープ株式会社 プラズマプロセス装置
EP1295647A1 (en) * 2001-09-24 2003-03-26 The Technology Partnership Public Limited Company Nozzles in perforate membranes and their manufacture
US7052622B2 (en) * 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
JP2005523384A (ja) * 2002-04-19 2005-08-04 マットソン テクノロジイ インコーポレイテッド 低蒸気圧のガス前駆体を用いて基板上にフィルムを蒸着させるシステム
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4151308B2 (ja) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
JP2004079784A (ja) * 2002-08-19 2004-03-11 Toshiba Ceramics Co Ltd 流体流通用シリカガラス板及びその製造方法
US20040039989A1 (en) * 2002-08-26 2004-02-26 Peter Warren Structured forms with configurable labels
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
WO2004061888A2 (en) * 2002-12-20 2004-07-22 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004239251A (ja) * 2003-02-06 2004-08-26 Aisan Ind Co Ltd 燃料噴射弁
JP4098121B2 (ja) * 2003-03-03 2008-06-11 株式会社日立製作所 平面型表示装置
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US6852139B2 (en) * 2003-07-11 2005-02-08 Excellatron Solid State, Llc System and method of producing thin-film electrolyte
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
CN100466162C (zh) * 2003-12-15 2009-03-04 应用材料有限公司 用于改进cvd膜性能的边流面板
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060038554A1 (en) * 2004-02-12 2006-02-23 Applied Materials, Inc. Electron beam test system stage
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100953828B1 (ko) * 2008-01-15 2010-04-20 주식회사 테스 플라즈마 처리장치
KR20110139484A (ko) * 2010-06-23 2011-12-29 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
US9061255B2 (en) 2010-07-15 2015-06-23 Korea Institute Of Machinery & Materials Rotating unit-based micro-sized bubble generator
KR20130105409A (ko) * 2012-03-13 2013-09-25 유니버셜 디스플레이 코포레이션 유기 증기 제트 프린팅용 노즐 구성
JP2016119475A (ja) * 2014-12-22 2016-06-30 群創光電股▲ふん▼有限公司Innolux Corporation 表示パネル
KR20160142059A (ko) * 2015-06-02 2016-12-12 에이피시스템 주식회사 박막 증착장치 및 박막 증착방법
KR20190004836A (ko) * 2016-06-03 2019-01-14 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
CN109478494A (zh) * 2016-06-03 2019-03-15 应用材料公司 通过扩散腔室内部的气流而得的较低粒子计数及较佳晶片品质的有效且新颖的设计
US10808310B2 (en) 2016-06-03 2020-10-20 Applied Mateirals, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
KR20210008160A (ko) * 2016-06-03 2021-01-20 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
KR20180129408A (ko) * 2017-05-26 2018-12-05 제주대학교 산학협력단 원자층 증착용 헤드 및 이를 갖는 원자층 증착 장치
KR20190048499A (ko) * 2017-10-31 2019-05-09 제주대학교 산학협력단 원자층 증착 헤드 및 이를 포함하는 원자층 증착 장치

Also Published As

Publication number Publication date
CN1715442A (zh) 2006-01-04
JP5002132B2 (ja) 2012-08-15
CN1715442B (zh) 2013-02-13
KR100658239B1 (ko) 2006-12-14
US20090104376A1 (en) 2009-04-23
TW200533781A (en) 2005-10-16
US11692268B2 (en) 2023-07-04
US8795793B2 (en) 2014-08-05
US20050223986A1 (en) 2005-10-13
US20140230730A1 (en) 2014-08-21
TWI301294B (en) 2008-09-21
JP2005317958A (ja) 2005-11-10

Similar Documents

Publication Publication Date Title
KR100658239B1 (ko) 광역 플라즈마 강화 화학 기상 증착용 가스 확산샤워헤드의 구조
US10312058B2 (en) Plasma uniformity control by gas diffuser hole design
US20060228490A1 (en) Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
US8381677B2 (en) Prevention of film deposition on PECVD process chamber wall
US8394231B2 (en) Plasma process device and plasma process method
US20090197015A1 (en) Method and apparatus for controlling plasma uniformity
EP1789605A2 (en) Plasma uniformity control by gas diffuser curvature
US10751765B2 (en) Remote plasma source cleaning nozzle for cleaning a gas distribution plate
KR200419389Y1 (ko) 다양한 크기의 홀을 갖는 대형 pecvd 시스템용배플판에 의한 가스 분배 균일성 개선
WO2023069227A1 (en) Dummy hole and mesh patch for diffuser
CN118119733A (zh) 用于扩散器的虚设孔和网孔补片
KR20240073169A (ko) 확산기를 위한 더미 홀 및 메시 패치
US20070202636A1 (en) Method of controlling the film thickness uniformity of PECVD-deposited silicon-comprising thin films
KR101351310B1 (ko) 기판처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 12