JP2003163208A - セルフクリーニングを実行するプラズマcvd装置及び方法 - Google Patents

セルフクリーニングを実行するプラズマcvd装置及び方法

Info

Publication number
JP2003163208A
JP2003163208A JP2001361669A JP2001361669A JP2003163208A JP 2003163208 A JP2003163208 A JP 2003163208A JP 2001361669 A JP2001361669 A JP 2001361669A JP 2001361669 A JP2001361669 A JP 2001361669A JP 2003163208 A JP2003163208 A JP 2003163208A
Authority
JP
Japan
Prior art keywords
susceptor
cleaning
shower head
temperature
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001361669A
Other languages
English (en)
Other versions
JP4121269B2 (ja
Inventor
Hideaki Fukuda
秀明 福田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to JP2001361669A priority Critical patent/JP4121269B2/ja
Priority to US10/304,115 priority patent/US20030097987A1/en
Priority to EP02258147A priority patent/EP1315194A3/en
Priority to KR1020020074386A priority patent/KR101024891B1/ko
Publication of JP2003163208A publication Critical patent/JP2003163208A/ja
Priority to US11/177,179 priority patent/US20050242061A1/en
Application granted granted Critical
Publication of JP4121269B2 publication Critical patent/JP4121269B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

(57)【要約】 【課題】チャンバクリーニングの速度を増加させ、クリ
ーニング頻度を最適化することにより不純物汚染が少な
く生産性が高いプラズマCVD装置及び方法を与える。 【解決手段】セルフクリーニングを実行するプラズマCV
D装置は、反応チャンバと、反応チャンバ内にあって、
被処理体を載置しかつ加熱するためのサセプタであっ
て、プラズマ生成のための一方の電極を兼ねるサセプタ
と、反応チャンバ内にあって、前記サセプタと対向し、
それと平行に設置され被処理体に反応ガスを噴射するた
めのシャワーヘッドであって、プラズマ生成のためのも
う一方の電極を兼ねるシャワーヘッドと、シャワーヘッ
ドを所定の温度に温度制御するための温度制御機構と、
反応チャンバの外部にあって遠隔的にクリーニングガス
を活性化するための遠隔プラズマ放電装置と、サセプタ
若しくはシャワーヘッドのいずれかに電気的に接続され
た高周波電力供給手段と、から成る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、セルフクリーニン
グ装置を具備したプラズマCVD(化学気相成長)装置に
関し、特に遠隔的に生成された活性種を使って反応チャ
ンバの内側を清浄にするプラズマCVD装置に関する。
【0002】
【従来技術及び発明が解決しようとする課題】一般に、
プラズマ処理装置は、膜の形成若しくは除去または被処
理体の表面改質に使用されている。特に、シリコンなど
の半導体基板若しくはガラス基板上への薄膜の形成(プ
ラズマCVD法)または薄膜のエッチングは、メモリ若し
くはCPUなどの半導体素子またはLCD(Liquid Crystal Di
splay)の製造には不可欠の基本技術である。
【0003】従来より、CVD装置は、酸化珪素(SiO)、窒
化珪素(SiN)、炭化珪素(SiC)、若しくは炭化酸化珪素(S
iOC)等の絶縁膜、タングステンシリサイド(WSi)、窒化
チタン(TiN)若しくはアルミニウム(Al)合金のような導
体膜、及びPZT(PbZr1-xTixO3)若しくはBST(BaxSr1-xTiO
3)を含む高誘電膜などのシリコン基板若しくはガラス基
板を形成するのに使用されてきた。
【0004】これらの膜を形成するために、さまざまな
成分を有する複数の反応ガスが反応チャンバ内に導入さ
れる。プラズマCVD装置では、これらの反応ガスを高周
波電力によってプラズマ化し、基板上で化学反応を生じ
させることにより所望の薄膜を形成する。
【0005】反応チャンバ内でプラズマ化学反応によっ
て生成される生成物はその内壁及びサセプタの表面にも
同様に堆積する。これらの堆積物はプラズマCVD装置内
で薄膜形成が繰り返されるごとに徐々に蓄積され、やが
て内壁及びサセプタ表面から剥離して反応チャンバ内を
浮遊する。これらは基板上に異物として付着し、製造さ
れた半導体回路に欠陥をもたらす不純物汚染を引き起こ
す。
【0006】反応チャンバの内壁に付着する不要な堆積
物を除去するために、反応チャンバが動作中にその内部
を清浄にする現場(in-situ)クリーニングが有効であ
る。チャンバクリーニング(反応チャンバ内壁に残存し
た不要な付着物及び堆積物の除去)とは、付着物質のタ
イプに従って選択されるクリーニングガスを反応チャン
バ内に導入し、プラズマ分解反応により活性種を生成
し、堆積物を気化することによって除去するというもの
である。例えば、もし酸化珪素若しくは窒化珪素または
タングステン若しくはその窒化物若しくは珪化物が付着
していれば、CF4、C 2F6、C3F8またはNF3等のフッ素含有
ガスがクリーニングガスとして使用される。この場合、
フッ素原子の活性種(フッ素ラジカル)若しくはフッ素
含有活性種が反応チャンバ内壁に付着した物質と反応
し、その反応生成物は気体として反応チャンバ外部へ排
出される。
【0007】1990年10月2日に発行された米国特許第4,9
60,488号には、平行平板プラズマCVD装置のチャンバク
リーニングを効率良く行うために、比較的高圧力で狭い
上下電極間においてクリーニングプラズマを形成し、局
所的なクリーニングを行う工程と、比較的低圧力で広い
上下電極間においてクリーニングプラズマを形成し、広
域的なクリーニングを行う工程とを組合せ、効率良くチ
ャンバクリーニングを実行する方法が開示されている。
この場合のチャンバクリーニングは、反応チャンバ内に
クリーニングガスを導入し、上下電極間に高周波電力を
印加し、クリーニングガスをプラズマ化してフッ素原子
の活性種若しくはフッ素含有活性種を生成して反応チャ
ンバ内の堆積物を除去するin-situプラズマクリーニン
グ法である。特に、当該発明の目的は、in-situプラズ
マクリーニング法においてクリーニング処理自体を律速
しているチャンバ側壁部若しくは上部電極周辺、及び排
気系のクリーニングを高効率で行うことである。
【0008】In-situプラズマクリーニング法を使用す
るプラズマCVD装置の欠点は、膜形成用に使用されるプ
ラズマ励起装置は同時にクリーニングガスの活性にも使
用されるため、クリーニングガスに印加される高周波(R
F)電力によって電極間に大きなイオン衝撃が生じること
である。結果として、所望しない副生成物(例えば、電
極がアルミ合金製の場合にはフッ化アルミニウム等)が
形成されそれが浮遊するか若しくは電極表面がイオン衝
撃によりダメージを受け表面層自体が剥離して基板上に
降り注ぎ不純物汚染を引き起こす。ダメージを受けた部
品は定期的に清掃若しくは交換などのメンテナンスをす
る必要があり、それによって装置のスループットは低下
し、運転コストが増大する。
【0009】In-situプラズマクリーニング法における
イオン衝撃の問題を解決するために、反応チャンバの外
部でプラズマを生成しそれによってクリーニングガスを
活性化する遠隔プラズマクリーニング法が開発された。
1998年8月4日に発行された米国特許第5,788,799号に
は、反応チャンバから離隔された外部の放電チャンバ内
において、マイクロ波を使ってクリーニングガス(NF3)
をプラズマ励起し活性化させる遠隔プラズマクリーニン
グ法が開示されている。当該発明において、流量制御さ
れたNF3は外部のマイクロ波発生源によって解離されか
つ活性化され、それによって生成されたフッ素活性種は
導管を通じて反応チャンバ内に導入され、その内壁表面
に付着した物質を分解しかつ除去する。
【0010】一方、近年の半導体基板の大口径化に伴い
反応チャンバの容積が増大したことにより、クリーニン
グすべき残存堆積物の量が増加し、クリーニングに要す
る時間も増大する傾向にある。クリーニングに要する時
間が増大すると、単位時間あたりの基板の処理枚数(ス
ループット)が減少し、その結果装置の生産性が低下す
る。したがって、クリーニングを効率良く実行する必要
がある。上記米国特許第5,788,799号には、反応チャン
バ内壁に隣接して温度制御されたセラミックライナを配
置することにより、その表面の堆積物の除去速度を向上
させ、チャンバクリーニングを効率良く実行する方法が
開示されている。
【0011】しかし、当該発明は以下のような欠点を有
する。まず、温度制御付きセラミックライナを使用する
場合、加熱用の抵抗加熱ヒータ線をセラミックライナ内
に形成する必要があり、これは工業的にコストが高い。
また、チャンバクリーニングを効率良く実行するために
は、反応チャンバ内のどの部位がクリーニング速度を律
速しているのかを解明する必要があるが、この点に関す
る考察が全くなされていない。実際に、高密度プラズマ
CVD、平行平板プラズマCVD、若しくは熱CVD等の方式に
応じて反応チャンバ内壁に付着する堆積物の様子が異な
る。また上記米国特許第4,960,488号のような平行平板
プラズマCVD装置におけるin-situプラズマクリーニング
と、上記米国特許第5,788,799号のような遠隔プラズマ
クリーニングを平行平板プラズマCVD装置に用いたクリ
ーニングでは当然クリーニング速度を律速している部位
が異なる。
【0012】したがって、本発明の目的は、チャンバク
リーニング速度が大きいセルフクリーニングを実行する
プラズマCVD装置及びその方法を与えることである。
【0013】また本発明の他の目的は、チャンバクリー
ニング頻度が最適化されたセルフクリーニングを実行す
るプラズマCVD装置及び方法を与えることである。
【0014】さらに本発明の他の目的は、不純物汚染の
問題がないセルフクリーニングを実行するプラズマCVD
装置及びその方法を与えることである。
【0015】さらにまた本発明の他の目的は、高い生産
性を有するセルフクリーニングを実行するプラズマCVD
装置及びその方法を与えることである。
【0016】
【課題を解決するための手段】上記目的を達成するため
に本発明に係る装置は以下の手段から成る。
【0017】セルフクリーニングを実行するプラズマCV
D装置は、反応チャンバと、反応チャンバ内にあって、
被処理体を載置しかつ加熱するためのサセプタであっ
て、プラズマ生成のための一方の電極を兼ねるサセプタ
と、反応チャンバ内にあって、サセプタと対向し、それ
と平行に設置され被処理体に反応ガスを噴射するための
シャワーヘッドであって、プラズマ生成のためのもう一
方の電極を兼ねるシャワーヘッドと、シャワーヘッドを
所定の温度に温度制御するための温度制御機構と、反応
チャンバの外部にあって遠隔的にクリーニングガスを活
性化するための遠隔プラズマ放電装置と、サセプタ若し
くはシャワーヘッドのいずれかに電気的に接続された高
周波電力供給手段と、から成る。
【0018】本発明に係る他のセルフクリーニングを実
行するプラズマCVD装置は、リアクタと、リアクタ内に
あって、被処理体を載置しかつ加熱するためのサセプタ
であって、プラズマ生成のための一方の電極を兼ねるサ
セプタと、サセプタを上下移動するための昇降手段と、
リアクタの天井にあって、サセプタと対向し、それと平
行に設置され被処理体に反応ガスを噴射するためのシャ
ワーヘッドであって、プラズマ生成のためのもう一方の
電極を兼ねるシャワーヘッドと、シャワーヘッドを支持
し、シャワーヘッドの周辺近傍に位置し、リアクタの内
壁面に沿って環状に設けられた絶縁体から成るダクト手
段と、ダクト手段と同軸でその底面と僅かに隙間を形成
するように及び成膜時にサセプタとの間に僅かに隙間を
形成するように設置された環状の絶縁体分離板であっ
て、リアクタを反応チャンバと基板搬送チャンバとに実
質的に分離する絶縁体分離板と、基板搬送チャンバ内に
不活性ガスを導入するための手段であって、成膜時に絶
縁体分離板とサセプタとの間に形成された隙間を通じて
基板搬送チャンバから反応チャンバ方向に不活性ガスを
流すところの手段と、シャワーヘッドを所定の温度に温
度制御するための温度制御機構と、リアクタの外部にあ
って遠隔的にクリーニングガスを活性化するための遠隔
プラズマ放電装置と、サセプタ若しくはシャワーヘッド
のいずれかに電気的に接続された高周波電力供給手段
と、から成る。
【0019】好適には、シャワーヘッドの所定の温度は
200℃〜400℃である。
【0020】また、好適には、サセプタの表面積/被処
理体の表面積の値は1.08〜1.38である。
【0021】さらに好適には、シャワーヘッドの表面積
/サセプタの表面積の値が1.05〜1.44である。
【0022】一方、本発明に係るプラズマCVD装置を使
ってセルフクリーニングを効率良く実行する方法は、サ
セプタの表面積/被処理体の表面積の値が1.08〜1.38に
なるようなサセプタを選択する工程と、シャワーヘッド
の表面積/サセプタの表面積の値が1.05〜1.44になるよ
うなシャワーヘッドを選択する工程と、シャワーヘッド
の温度を200℃〜400℃に制御する工程と、遠隔プラズマ
放電装置を使ってクリーニングガスを活性化し、生成さ
れたクリーニング活性種を反応チャンバ内に導入する工
程と、高周波電力供給手段によって、サセプタとシャワ
ーヘッドとの間の反応空間にプラズマを発生させる工程
と、反応チャンバ内部を圧力制御する工程と、から成
る。
【0023】該方法は、さらにセルフクリーニングの頻
度を最適化する工程を含む。
【0024】
【発明の実施の態様】(検証1)本発明者は、平行平板
プラズマCVD装置に遠隔プラズマクリーニングを用いた
場合の以下に説明する実験により、クリーニング処理速
度を律速している部位がシャワーヘッド(上部電極)表
面であることを発見した。
【0025】実験に用いられた装置を図1に示す。図1
は従来より工業的に使用されてきた平行平板プラズマCV
D装置を略示したものである。当該装置は遠隔プラズマ
クリーニングを実行する300mm基板処理用の平行平板プ
ラズマCVD装置である。
【0026】反応チャンバ2内部には、ガラス若しくは
シリコン基板などの被処理体1を載置するためのサセプ
タ3が設置されている。該サセプタは好適にはセラミッ
ク若しくはアルミ合金から成り内部には抵抗加熱型ヒー
タが埋設されている。また該サセプタはプラズマ生成の
ための下部電極を兼ねている。サセプタに平行に対向す
る位置に反応ガスを被処理体1に均一に噴射するための
シャワーヘッド4が設けられている。シャワーヘッド4は
プラズマ生成のための上部電極を兼ねている。反応チャ
ンバ2の側壁には排気口20が設けられ、該排気口20はコ
ンダクタンス調整弁21を介して真空ポンプ(図示せず)
に連通されている。
【0027】反応チャンバ2の外部には、遠隔プラズマ
放電装置13が設けられ配管14を通じてシャワーヘッド4
の開口部7に連結されている。クリーニングガスソース
(図示せず)は配管12を通じて遠隔プラズマ放電装置13
に連通されている。配管14の開口部11には配管5の一端
がバルブ6を介して結合されている。配管5の他端は反応
ガスソース(図示せず)に結合されている。プラズマを
生成するための高周波電源(8,18)は出力ケーブル9を通
じて整合回路10を介し、シャワーヘッド4に接続されて
いる。この場合、サセプタ3は接地されている。高周波
電源(8,18)は数百kHz〜数十MHzの高周波電力を供給する
ことができ、好適には、膜質制御性を向上するために両
者の高周波電源(8,18)の周波数は異なる。
【0028】シャワーヘッド4の大気側にはシャワーヘ
ッド4の温度変化を防止するための空冷ファン15が設置
されている。反応チャンバ2の上部天板にはシャワーヘ
ッド4の温度を測定するための熱電対122が埋設されてい
る。空冷ファン15は温度調節器125にバンドパスフィル
タ123'及びソリッドステートリレー124を介して接続さ
れ、及び熱電対122はバンドパスフィルタ123を介して温
度調節器125に接続されている。温度調節器125は交流電
源126に接続されている。
【0029】被処理体1の表面に膜を形成するための反
応ガスは、マスフローコントローラ(図示せず)により
所定の流量に制御された後、配管5を通じてバルブ6を介
し、開口部7を経てシャワーヘッド4に供給される。反応
チャンバ2内に導入された反応ガスは高周波電源(8,18)
から供給される高周波電力によりプラズマ化され被処理
体1の表面上で化学反応を生じさせる。化学反応により
生じた膜は被処理体1以外にも、シャワーヘッド4表面若
しくは反応チャンバ内壁等に付着する。
【0030】被処理体1上への成膜処理が終了し、搬送
手段(図示せず)により該被処理体1が反応チャンバ2の
外へ搬出された後に、クリーニング処理が開始される。
反応チャンバ2内の堆積物をクリーニングするためのク
リーニングガスは例えば、C2F 6+O2、NF3+Ar、F2+Arなど
のフッ素含有ガスから成る。クリーニングガスは所定の
流量に制御された後、配管12を通じて遠隔プラズマ放電
装置13に導入される。該遠隔プラズマ放電装置13内でプ
ラズマにより活性化されたクリーニングガスは配管14を
通じて開口部7に導入される。開口部7から反応チャンバ
2内に導入されたクリーニングガスは、シャワーヘッド4
を介して反応チャンバ2内に均一に供給され、反応チャ
ンバ2の内壁若しくはシャワーヘッド4の表面等に付着し
た堆積物と化学反応する。気体となった堆積物は反応チ
ャンバ2の排気口20からコンダクタンス調整弁21を介し
て真空ポンプ(図示せず)により外部へ排気される。図
1に示される平行平板型プラズマCVD装置では、シャワ
ーヘッド4の大気側に設けられた空冷ファン15によっ
て、シャワーヘッド4の温度は約70℃〜150℃の範囲で一
定温度に制御される。その結果、シャワーヘッドの温度
上昇を抑えることができ、生成される膜の膜質(膜厚若
しくは膜密度等)の変化を防止することができる。
【0031】図1に記載のプラズマCVD装置を使用した
実験について以下に説明する。TEOS流量250sccm、O2
量2.3slm、上下電極間隔10mm、上下電極径φ350mm、チ
ャンバ圧力400Pa、高周波電力(13.56MHz)600W、高周波
電力(430kHz)400W、サセプタ温度400℃、シャワーヘッ
ド温度150℃、反応チャンバ内壁温度140℃の成膜条件に
て、φ300mmシリコン基板上にプラズマ酸化珪素膜の成
膜を行った。
【0032】成膜処理を行った直後の観察によれば、イ
オン衝撃の大きい上下電極表面には膜密度の高い緻密な
膜が堆積しているが、一方上下電極から離れたイオン衝
撃の小さい反応チャンバ側壁若しくはシャワーヘッド周
辺部には膜というより粉状の付着物が見られたのみであ
った。
【0033】つづいて、同様の成膜条件にて膜厚1μmの
プラズマ酸化珪素膜の成膜を行った後、NF3流量1slm、A
r流量2slm、上下電極間隔14mm、チャンバ圧力670Pa、遠
隔プラズマ源電力2.7kW、サセプタ温度400℃、シャワー
ヘッド温度150℃、反応チャンバ内壁温度140℃のクリー
ニング条件を用いてチャンバクリーニングを行った。通
常膜厚1μm成膜後は、この条件によれば約120秒間で反
応チャンバのクリーニングは完了するが、最もクリーニ
ングされにくい部位を調べるため、60秒でクリーニング
処理を停止し、反応チャンバ内部を観察した。
【0034】観察の結果、サセプタに付着した膜及び反
応チャンバ側壁若しくはシャワーヘッド周辺に付着した
粉体状の堆積物はほぼ完全に除去されているのに対し
て、シャワーヘッド(上部電極)の表面には堆積物が最
も多く残存していることがわかった。この観察結果は以
下のように定性的に理解することができる。
【0035】化学反応に関するArrheniusの反応速度及
び温度の関係は、次の式で表すことができる。
【0036】k=A exp(-E/RT) ・・・(1) ここで、kは速度定数、Aは頻度因子、Eは活性化エネル
ギー、Rは気体定数、Tは絶対温度をそれぞれ示す。この
場合、kはクリーニング速度であり、Aはフッ素ラジカル
の分圧などに主に依存し、Eは反応に必要な最小限のエ
ネルギーを示し付着物の密度若しくは組成などの膜質に
依存すると考えられる。
【0037】反応チャンバ内壁若しくはシャワーヘッド
周辺に付着する粉体状の堆積物は、膜密度が小さく活性
化エネルギーも低いためクリーニング速度が大きい。サ
セプタ(下部電極)表面の堆積物は膜密度が大きく緻密
な膜であるが、表面温度が400℃と高いためクリーニン
グ速度が大きい。一方、シャワーヘッド(上部電極)表
面の堆積物は、プラズマからのイオン衝撃により膜密度
の大きい緻密な膜であり、表面温度がサセプタに比べ低
いためクリーニング速度が最も小さいと考えられる。
【0038】さらに、同様の成膜条件及びクリーニング
条件で110秒間クリーニング処理を行い反応チャンバ内
部を観察した。その結果、シャワーヘッド表面の中心付
近に付着した膜は、完全に除去されていたが、シャワー
ヘッド表面の最外周付近に付着した膜は残存していた。
これは、シャワーヘッド表面の外周付近は成膜時にサセ
プタとの間でプラズマを生成するばかりでなく、金属製
の反応チャンバ内壁との間でもプラズマを生成し、その
部分に緻密な膜が多量に付着するためであると考えられ
る。
【0039】以上の実験及び観察により、平行平板プラ
ズマCVD装置を遠隔プラズマクリーニングを用いてチャ
ンバクリーニングする場合、クリーニング処理自体を律
速している部位は、シャワーヘッド表面であり、特にシ
ャワーヘッドの外周付近であることが明確となった。
【0040】(検証2)次に本発明者は、以下に説明す
る実験により、チャンバクリーニング速度を増大させ、
装置の生産性を向上させるためにはシャワーヘッドの温
度を200℃〜400℃に制御するのが好適であることを発見
した。
【0041】図2は実験に使用された本発明に係るセル
フクリーニングを実行する平行平板プラズマCVD装置の
第1実施例を略示したものである。図2の装置が図1の
装置と異なる点は、本発明に係る装置が、シャワーヘッ
ド(上部電極)4の表面の温度を上昇させるため、シャ
ワーヘッドを積極的に加熱する熱源として、サセプタヒ
ーターとは別にシャワーヘッドに加熱機構(ヒーター)
を備えた温度制御機構を有する点である。該温度制御機
構は、シャワーヘッド4の上部近傍に配置されたシャワ
ーヘッド4を加熱するためのシースヒータ16と、シャワ
ーヘッド4の温度を測定するための熱電対22と、シース
ヒータ16及び熱電対22に接続された成膜時の高周波電力
の影響を避けるためのバンドパスフィルタ(23、23')
と、バンドパスフィルタ23'に接続された電力制御のた
めのソリッドステートリレー(若しくはサイリスタ)24
と、バンドパスフィルタ23'及びソリッドステートリレ
ー24を介してシースヒータ16に及びバンドパスフィルタ
23を介して熱電対22にそれぞれ接続された温度調節器25
と、該温度調節器25に接続された交流電源26とから成
る。但し、高周波によるノイズの影響が少ない場合に
は、バンドパスフィルタ(23、23')は必ずしも必要な
い。尚、図2に示されたプラズマCVD装置は200mm基板処
理用の平行平板プラズマCVD装置であるため装置寸法が
図1の装置と異なるが、上記した温度制御機構以外の構
成要素は図1とほぼ同様である。
【0042】式(1)から、温度Tを増加することによりク
リーニング速度が増大することが分かる。そこで、シャ
ワーヘッド(上部電極)4の温度を80℃、130℃、165
℃、200℃、300℃及び400℃に設定し、各温度に対する
チャンバクリーニング速度を測定した。
【0043】まず、TEOS流量110sccm、O2流量1.0slm、
上下電極間隔10mm、上下電極径φ250mm、チャンバ圧力4
00Pa、サセプタ温度400℃、反応チャンバ内壁温度120℃
の成膜条件にて、φ200mmシリコン基板上にプラズマ酸
化珪素膜1μmの成膜を行う。シャワーヘッド4の温度を
変更し成膜を行うとシリコン基板上に成膜されるプラズ
マ酸化珪素膜の応力が変化してしまう。膜応力を-150MP
aに固定するために高周波電力を増減して制御した。
【0044】成膜終了後シリコン基板を反応チャンバ外
部に搬出し、クリーニングを実行した。NF3流量1slm、A
r流量2slm、上下電極間隔14mm、チャンバ圧力670Pa、遠
隔プラズマ源電力2.7kW、サセプタ温度400℃、反応チャ
ンバ内壁温度120℃のクリーニング条件を用いてチャン
バクリーニングを行った。クリーニング中に上下電極間
に高周波電力(13.56MHz)50Wを印加し、微弱なプラズマ
を発生させ、発光強度を光電変換デバイスでモニターし
た。その発光強度の変化からクリーニング終点を検出
し、クリーニング速度を求めた。
【0045】図3は実験結果を示したグラフである。80
℃、130℃、165℃、200℃、300℃及び400℃の各温度に
おけるシャワーヘッド4表面のクリーニング速度が黒丸
(グラフ中●印)で示されている。実験結果はクリーニ
ング速度がシャワーヘッド4の温度の上昇とともに増大
し、300℃でピークを迎え400℃でやや低下していること
を示している。80℃、130℃、165℃及び200℃に対する
クリーニング速度を式(1)でフィッティング(301)した結
果、以下のような式(2)が得られた。
【0046】 (クリーニング速度)=6.10×103・exp(-6.03×103/RT) ・・・(2) 式(2)はシャワーヘッド4の温度Tが上昇すればクリーニ
ング速度が増大することを示す。シャワーヘッド4の温
度が200℃を超えると式(2)からはずれていくのは、シャ
ワーヘッド4表面に付着する膜の密度が増加し、非常に
緻密な膜が形成されるため、活性化エネルギーが式(2)
の6.03kJ/molより大きい値となることが原因であると推
定される。
【0047】また、シャワーヘッドの温度制御は、成膜
処理中にシャワーヘッド4表面に形成される膜とシャワ
ーヘッド表面との付着力に影響を及ぼす。剥離を生じる
ことなく成膜処理を連続で実行できる基板処理枚数はシ
ャワーヘッドの温度によって異なる。クリーニングを行
うことなく連続で実行できる基板処理枚数(クリーニン
グサイクル)が多いほど装置の生産性は高くなる。そこ
で、シャワーヘッド表面温度に対するクリーニングサイ
クルを調べる実験を行った。
【0048】上述した同様の条件でシリコン基板上にプ
ラズマ酸化珪素膜0.5μmの成膜を行った。シャワーヘッ
ドの温度を80℃、130℃、165℃、200℃、300℃及び400
℃に設定し、各設定温度においてクリーニングを実施せ
ずに連続で成膜処理を行い、シャワーヘッド表面から膜
が剥離し基板上に発塵が確認されるまでの枚数をチェッ
クした。
【0049】実験の結果、シャワーヘッドの温度を80
℃、130℃、165℃、200℃、300℃及び400℃に設定した
場合の発塵が確認された処理枚数は、それぞれ3枚、5
枚、7枚、11枚、23枚及び40枚以上(400℃の場合40枚ま
で確認したところ、膜剥離による発塵は全く生じなかっ
た)であり、シャワーヘッド表面温度が高いほど増加し
た。この結果より連続処理可能な積算膜厚の上限は、20
0℃の場合約5μm、300℃の場合約11μm、400℃の場合20
μm以上であることが分かった。積算膜厚の上限値が分
かれば、一定の処理膜厚に対する最大のクリーニングサ
イクルが決まる。例えば、シャワーヘッド表面温度を30
0℃に設定した場合、基板一枚に1μmを成膜するときの
最大のクリーニングサイクルは11枚となる。このクリー
ニングサイクルは、成膜する膜種若しくはシャワーヘッ
ド表面の粗さなどに依存するが、いずれの状況でもシャ
ワーヘッドの温度が高くなると膜の密度が大きくなり、
付着力が増加し剥離しにくくなると言える。
【0050】以上の結果より、チャンバクリーニング速
度を増大させ、クリーニングサイクルを増加し、装置の
生産性を向上させるためには、シャワーヘッドの温度を
200℃〜400℃に制御することが好適であることが示され
た。
【0051】(検証3)次に、本発明者は、以下に説明
する実験により、チャンバクリーニング速度を増大さ
せ、膜厚の均一性を向上させるためには下部電極面積/
基板面積の値を1.08〜1.38に制御するのが好適であるこ
とを発見した。
【0052】シャワーヘッド表面の外周部のクリーニン
グ速度が特に遅い原因は、この部分に密度の大きい緻密
な膜が多量に付着するためであると考えられる。そこ
で、この部分へのプラズマの集中を緩和し付着する膜の
密度及び量を低減させるために、基板面積に対する下部
電極面積の比を変化させる実験を行った。
【0053】実験には図2に示す本発明に係る第1の実
施例の平行平板プラズマCVD装置を使用した。まず、TEO
S流量110sccm、O2流量1.0slm、上下電極間隔10mm、上部
電極径φ250mm、チャンバ圧力400Pa、シャワーヘッド温
度130℃、サセプタ温度400℃、反応チャンバ内壁温度12
0℃の成膜条件にて、φ200mmシリコン基板上にプラズマ
酸化珪素膜1μmの成膜を行う。サセプタ3の面積を変更
し成膜を行うとシリコン基板上に成膜されるプラズマ酸
化珪素膜の応力が変化してしまう。膜応力を約-150Mpa
に固定するために高周波電力を増減して制御した。
【0054】各サセプタ面積での成膜終了後シリコン基
板を反応チャンバ外部に搬出し、クリーニングを実行し
た。NF3流量1slm、Ar流量2slm、上下電極間隔14mm、チ
ャンバ圧力670Pa、遠隔プラズマ源電力2.7kW、シャワー
ヘッド温度130℃、サセプタ温度400℃、反応チャンバ内
壁温度120℃のクリーニング条件を用いてチャンバクリ
ーニングを行った。クリーニング終了点を確認するため
に高周波電力(13.56MHz)50Wを印加し、上記と同様の方
法でクリーニング速度を求めた。また、基板上に成膜し
た酸化珪素膜の膜厚は、干渉膜厚計により測定し、膜厚
面内均一性を以下の式により計算した。測定点は基板の
中心を原点とした(x,y)座標で、(0,0)、(0,97)、(97,
0)、(0,-97)、(-97,0)、(0,47)、(47,0)、(0,-47)、(-4
7,0)の9点である。ここで、座標の単位はmmである。膜
厚面内均一性(単位±%)は、 (膜厚均一性)={(最大値)−(最小値)}×100/2
/(平均値) によって計算した。
【0055】図4は基板面積に対するサセプタ(下部電
極)の面積の比を変化させた場合の反応チャンバのクリ
ーニング速度及び膜厚面内均一性を測定した結果を示
す。図4の実験結果から、サセプタ面積が基板面積に近
づくにつれクリーニング速度は増加していることがわか
る。これはサセプタ面積が小さくなるに従い、成膜中の
プラズマが中心付近に集中し、シャワーヘッド表面の最
外周部付近の堆積物の密度及び量が低減されたためであ
ると考えられる。一方膜厚面内均一性は、サセプタ面積
が基板面積に近づくにつれ低下する。例えば、サセプタ
面積/基板面積が1.05の場合、膜厚面内均一性は±4.3
%であり、半導体デバイス製造で一般的に求められる基
準値の±3%を超えてしまう。サセプタ面積/基板面積
が1.08の場合、膜厚面内均一性は±2.8%であり基準値
を満たす。したがって、実験結果より、サセプタ面積/
基板面積の値が1.08〜1.38の範囲であればシャワーヘッ
ドの外周部への膜の付着も抑制されクリーニング速度が
増大し膜厚面内均一性も良好であることが示された。
【0056】(検証4)次に、本発明者は、以下に説明
する実験により、チャンバクリーニング速度を増大させ
るための他の方法として上部電極面積/下部電極面積の
値を1.05〜1.44に制御するのがさらに好適であることを
発見した。
【0057】シャワーヘッド表面の外周部のクリーニン
グ速度が特に遅い原因は、この部分に密度の大きい緻密
な膜が多量に付着するためであると考えられる。そこ
で、この部分へのプラズマの集中を緩和し付着する膜の
密度及び量をさらに低減させるために、サセプタ(下部
電極)面積に対するシャワーヘッド(上部電極)面積の
比を変化させる実験を行った。
【0058】実験には図2に示す本発明に係る第1の実
施例の平行平板プラズマCVD装置を使用した。まず、TEO
S流量110sccm、O2流量1.0slm、上下電極間隔10mm、下部
電極径φ225mm、チャンバ圧力400Pa、シャワーヘッド温
度130℃、サセプタ温度400℃、反応チャンバ内壁温度12
0℃の成膜条件にて、φ200mmシリコン基板上にプラズマ
酸化珪素膜1μmの成膜を行う。シャワーヘッド(上部電
極)4の面積を変更し成膜を行うとシリコン基板上に成
膜されるプラズマ酸化珪素膜の応力が変化してしまう。
膜応力を約-150Mpaに固定するために高周波電力を増減
して制御した。
【0059】各上部電極面積での成膜終了後シリコン基
板を反応チャンバ外部に搬出し、クリーニングを実行し
た。NF3流量1slm、Ar流量2slm、上下電極間隔14mm、チ
ャンバ圧力670Pa、遠隔プラズマ源電力2.7kW、シャワー
ヘッド温度130℃、サセプタ温度400℃、反応チャンバ内
壁温度120℃のクリーニング条件を用いてチャンバクリ
ーニングを行った。クリーニング終了点を確認するため
に高周波電力(13.56MHz)50Wを印加し、上記(検証2)
と同様の方法でクリーニング速度を求めた。
【0060】図5は下部電極面積に対する上部電極面積
の比を変化させた場合の反応チャンバのクリーニング速
度を測定した結果を示す。尚、いずれの場合にも膜厚面
内均一性は±3%を超えることは無かった。図5の実験
結果より、上部電極面積が下部電極面積に対して大きく
なるに従い、クリーニング速度が増大することが分か
る。これは上部電極面積が下部電極面積に対して相対的
に大きくなるに従い、上部電極外周部付近のプラズマが
広がりプラズマ密度が減少し、上部電極表面最外周部付
近の堆積物の密度及び量が低減されたためであると考え
られる。上部電極面積/下部電極面積の値が1.00から1.
23まではクリーニング速度の増加率は大きく改善が著し
いが、1.23と1.44を比較するとクリーニング速度の増加
率は比較的小さい。シャワーヘッドの面積をこれ以上増
大させてもクリーニング速度の著しい増加は期待できな
いばかりか、装置寸法が増加するので好ましくない。し
たがって、実験結果より、上部電極面積/下部電極面積
の値が1.05〜1.44の範囲であればシャワーヘッドの外周
部への膜の付着も抑制されクリーニング速度が増大し、
不要な装置寸法の増加を伴わないので好適であることが
示された。
【0061】(本発明に係る第2の実施例の説明)図6
は本発明に係るセルフクリーニングを実行する平行平板
プラズマCVD装置の第2の実施例を示したものである。
当該装置は遠隔プラズマクリーニングを実行する300mm
基板処理用の平行平板プラズマCVD装置である。
【0062】リアクタ内部には、ガラス若しくはシリコ
ン基板などの被処理体601を載置するためのサセプタ603
が設置されている。該サセプタ603は好適にはセラミッ
ク若しくはアルミ合金から成り内部には抵抗加熱型ヒー
タが埋設されている。また該サセプタ603はプラズマ生
成のための下部電極を兼ねている。本実施例において、
サセプタ603の直径はφ325mmであり、φ300mmの被処理
体601に対して1.17倍の面積を有するが、1.08〜1.38倍
の範囲であれば他の直径のサセプタを使用することもで
きる。リアクタの天井位置にはサセプタに平行に対向し
て反応ガスを被処理体601に均一に噴射するためのシャ
ワーヘッド604が設けられている。シャワーヘッド604は
プラズマ生成のための上部電極を兼ねている。本実施例
において、シャワーヘッド604の直径はφ380mmであり、
面積はサセプタ603の1.37倍であるが、1.05〜1.44の範
囲であれば他の直径のシャワーヘッドを使用することも
できる。
【0063】シャワーヘッド604の上部にはアルミナ製
の天板647が設けられている。シャワーヘッド604はリア
クタの内壁面に沿って環状に設けられたアルミナ製のダ
クト手段633によって支持されている。ダクト手段633と
同軸でその底面と僅かに隙間を形成するように及び成膜
時にサセプタとの間に僅かに隙間を形成するように環状
のアルミナ製分離板634が設置されている。分離板634に
よってリアクタは反応チャンバと基板搬送チャンバ(WH
C(Wafer Handling Chamber))とに実質的に分離され
る。このようにリアクタ内でシャワーヘッド604に近接
する部材をすべて絶縁体とすることにより、シャワーヘ
ッド604と反応チャンバ内壁との間にプラズマが生じる
ことを防止することができる。上記天板647、ダクト手
段633、分離板634等の絶縁体部材は、絶縁性、耐熱性、
耐腐食性、耐プラズマ性、低発塵性などの要件を満たす
セラミック製であれば良く、アルミナ以外にも窒化アル
ミ(AlN)若しくはマグネシア(MgO)などを使用することも
できる。
【0064】分離板634と上記ダクト手段633との間には
排気ギャップ625が形成されている。ダクト手段633の側
壁には排気口620が設けられ、該排気口620はコンダクタ
ンス調整弁621を介して真空ポンプ(図示せず)に連通
されている。アルミ合金製のWHCの側壁602には被処理体
601をWHC内640へ搬入出するための開口部623が設けられ
ている。また、側壁602の一部には不活性ガス導入手段
(図示せず)に連結された不活性ガス導入口635が設け
られている。不活性ガス導入口635から導入された不活
性ガス(好適にはAr若しくはHe)は、分離板634とサセ
プタ603との間に形成された隙間を通じて、WHCから反応
チャンバ側へ流れる。この不活性ガスのパージによりサ
セプタ603の下方に反応ガス若しくはプラズマが侵入す
るのが防止される。側壁602、ダクト手段633、シャワー
ヘッド604及び上部天板647はOリング等のシール手段に
よりシールされ、大気から隔絶される。サセプタ603の
下方には基板リフト機構632が設けられアルミナ製の複
数の基板リフトピン624を支持する。基板リフトピン624
はサセプタ603を貫通し、被処理体601のエッジ部を保持
する。サセプタ603及び基板リフト機構632はリアクタ外
部の昇降機構(図示せず)と機械的に連係し、それぞれ
相対的に上下することで、サセプタ603上に半導体基板6
01を載置したり、空中で支持したりする。
【0065】リアクタの外部には、遠隔プラズマ放電装
置613が設けられ配管614を通じてバルブ615を介しシャ
ワーヘッド604の開口部616に連結されている。クリーニ
ングガスソース(図示せず)は配管612を通じて遠隔プ
ラズマ放電装置613に連通されている。配管614の開口部
611には配管605の一端がバルブ606を介して結合されて
いる。配管605の他端は反応ガスソース(図示せず)に
結合されている。プラズマを生成するための高周波電源
(608,618)は出力ケーブル609を通じて整合回路610を介
し、シャワーヘッド604の上部642に接続されている。本
実施例において、サセプタ603は接地されている。高周
波電源(608,618)は数百kHz〜数十MHzの高周波電力を供
給することができる。好適には、膜質制御性を向上する
ために高周波電源(608,618)の周波数は異なる。
【0066】本発明に係る第2の実施例は第1の実施例
同様シャワーヘッド(上部電極)604表面の温度を制御
するための温度制御機構を有する。該温度制御機構は、
シャワーヘッド604に埋設されたシャワーヘッド604を加
熱するためのシースヒータ631と、シャワーヘッド604の
温度を測定するための熱電対630と、リアクタの外部に
設けられた、シースヒータ631及び熱電対630に接続され
た成膜時の高周波電力の影響を避けるためのバンドパス
フィルタ(643、643')と、バンドパスフィルタ643'に接
続された電力制御のためのソリッドステートリレー(若
しくはサイリスタ)644と、バンドパスフィルタ643'及
びソリッドステートリレー644を介してシースヒータ631
に及びバンドパスフィルタ643を介して熱電対630にそれ
ぞれ接続された温度調節器645と、該温度調節器に接続
された交流電源646とから成る。但し、高周波によるノ
イズの影響が少ない場合には、バンドパスフィルタ(64
3、643')は必ずしも必要ない。
【0067】真空ロードロック室で真空搬送ロボット
(図示せず)に搭載されたφ300mmのガラス若しくはシ
リコン基板である被処理体601はリアクタ壁602の開口部
623よりWHC640内へ搬入される。この際、WHC640に設置
されたサセプタ603及び基板リフト機構632に取付けられ
た複数の基板リフトピン624は、いずれもリアクタ外部
に取付けられたモーター等の昇降機構(図示せず)によ
り、基板より相対的に低い位置に下降している。複数の
リフトピン624はサセプタ603の表面から相対的に上昇
し、基板のエッジ部付近を保持する。その後、サセプタ
603は基板601をサセプタ表面上に載置しながら、基板リ
フト機構632とともに成膜条件によって決められた電極
間距離となる位置まで上昇する。被処理体601の表面に
膜を形成するための反応ガスは、マスフローコントロー
ラ(図示せず)によって所定の流量に制御された後、配
管605より、バルブ606、配管614、上部天板647の開口部
616、ガス分散板607及びシャワーヘッド604に設けられ
た複数のガス噴出し穴を通じて反応領域641へ均等に導
入される。
【0068】反応領域641へ導入された反応ガスは、圧
力制御され、高周波電源(608,618)により供給される数
百kHz〜数十MHzの高周波電力によってプラズマ化され
る。被処理体601の表面上で化学反応が生じ所望の膜が
形成される。成膜時に不活性ガス導入口635からWHC640
内にHe、Ar若しくはN2等の不活性ガスが導入される。こ
れにより、WHC640は反応領域641より陽圧となり、反応
ガスがWHC640内に流入するのが防止される。その結果、
反応ガスを成膜のために効率良く使用できると同時にWH
C640内壁に不要な堆積物が付着することを避けることも
できる。不活性ガスの流量は、反応ガスの流量若しくは
反応チャンバの圧力に応じて適宜制御される。
【0069】成膜処理が終了した後、反応領域に残存す
る反応ガス及び副生成物は排気ギャップ625よりダクト6
33内のガス通路626を通じて排気口620から外部に排気さ
れる。成膜処置が終了すると、サセプタ603及び基板リ
フト機構632が基板搬送位置まで下降する。サセプタ603
はそこからさらに下降することで、相対的に基板リフト
ピン624がサセプタ603表面上へ突出し、被処理体(半導
体基板)601を空中で保持する。その後、半導体基板601
は搬送手段(図示せず)によって開口部623を通じて外
部の真空ロードロック室(図示せず)に搬出される。
【0070】一枚から複数枚の成膜処理が終了した後、
反応領域641内で反応ガスに晒された部分の堆積物をク
リーニングするためのセルフクリーニングが実行され
る。クリーニングガス(例えば、C2F6+O2、NF3+Ar、F2+
Ar等)は所定の流量に制御された後、配管612を通じて
遠隔プラズマ放電装置613に導入される。遠隔プラズマ
放電装置613によって活性化されたクリーニングガス
は、配管614を通じてバルブ615を介し、リアクタの上部
天板647の開口部616へ導入される。開口部616からリア
クタ内に導入されたクリーニングガスはガス分散板607
及びシャワーヘッド604に設けられた複数のガス噴出口
を介して反応領域641に均等に分配される。導入された
クリーニングガスは反応領域641の反応チャンバ内壁に
付着した堆積物と化学反応し、該堆積物を気化させる。
気体となった堆積物は排気ギャップ625よりダクト633内
のガス通路626を通じて排気口620から外部に排気され
る。
【0071】次に、本発明に係るクリーニング効率を向
上させるための方法を説明する。方法は、サセプタの表
面積/被処理体の表面積の値が1.08〜1.38になるような
サセプタを選択する工程と、シャワーヘッドの表面積/
サセプタの表面積の値が1.05〜1.44になるようなシャワ
ーヘッドを選択する工程と、シャワーヘッドの温度を20
0℃〜400℃に制御する工程と、を含む。基板面積に対す
るサセプタ表面積の比を1.08〜1.38の範囲に制限する工
程は、具体的にはサセプタの寸法を変更する以外にも、
環状の絶縁体板で余分なサセプタ表面を覆うことでプラ
ズマの発生を抑え実質的な面積を制限することも可能で
ある。シャワーヘッドの温度を200℃〜400℃に制御する
工程は、具体的には熱電対630からの信号に応答して温
度調節器645が所定の温度になるよう複数のシースヒー
タ631に電力を供給するというものである。熱電対630は
成膜時の高周波電力の影響を避けるためバンドパスフィ
ルタ643を介して温度調節器645に信号を送る。一方、温
度調節器645は該信号に応答して電力制御のためのソリ
ッドステートリレー644及び成膜時の高周波電力の影響
をさけるためのバンドパスフィルタ643を介して複数の
シースヒータ631に電力を供給する。
【0072】さらに方法は、セルフクリーニングの頻度
を最適化する工程を含む。該工程は具体的には200℃〜4
00℃に制御されたシャワーヘッドの各温度に対して、連
続処理可能な積算膜厚の上限値を求める工程と、被処理
体上に成膜する膜厚で上限値を割り算し、最大のクリー
ニングサイクルを求める工程と、から成る。200℃〜400
℃に制御されたシャワーヘッドの各温度に対して、連続
処理可能な積算膜厚の上限値を求める工程は、具体的に
は、各設定温度においてクリーニングを実施せずに連続
で成膜処理を行い、シャワーヘッド表面から膜が剥離し
基板上に発塵が確認されるまでの最大処理枚数をチェッ
クすることである。例えば、上記した(検証2)の実験
のようにプラズマ酸化珪素膜0.5μmを成膜した場合、連
続処理可能な積算膜厚(μm)=0.5(μm)×(最大処理枚
数)となる。
【0073】
【実施例】図1に示す従来の平行平板プラズマCVD装置
及び図6に示す本発明に係る第2の実施例の平行平板プ
ラズマCVD装置を用いて、以下の条件で、成膜速度、膜
厚面内均一性、クリーニング速度及びクリーニングサイ
クルの比較実験を行った。
【0074】(1)成膜条件:図1に示す従来のプラズ
マCVD装置の成膜条件は、TEOS流量250sccm、O2流量2.3s
lm、上下電極間隔10mm、シャワーヘッド径φ350mm、下
部電極径φ350mm、チャンバ圧力400Pa、シャワーヘッド
温度150℃、サセプタ温度400℃、反応チャンバ内壁温度
140℃、高周波電力(13.56MHz)600W、高周波電力(430kH
z)400Wである。この成膜条件でφ300mmシリコン基板上
にプラズマ酸化珪素膜1μmの成膜を行う。
【0075】図6に示す本発明に係るプラズマCVD装置
の成膜条件は、TEOS流量250sccm、O2流量2.3slm、上下
電極間隔10mm、シャワーヘッド径φ380mm、下部電極径
φ325mm、チャンバ圧力400Pa、シャワーヘッド温度300
℃、サセプタ温度400℃、反応チャンバ内壁温度230℃、
WHC内壁温度150℃、高周波電力(13.56MHz)600W、高周波
電力(430kHz)400Wである。この成膜条件でφ300mmシリ
コン基板上にプラズマ酸化珪素膜1μmの成膜を行う。
【0076】(2)クリーニング条件:図1に示す従来
のプラズマCVD装置のクリーニング条件は、NF3流量1sl
m、Ar流量2slm、上下電極間隔14mm、チャンバ圧力670P
a、遠隔プラズマ源電力2.7kW、シャワーヘッド温度150
℃、サセプタ温度400℃である。クリーニング終了点を
確認するために高周波電力(13.56MHz)50Wを印加し、上
記(検証2)と同様の方法でクリーニング速度を求め
た。
【0077】図6に示す本発明に係るプラズマCVD装置
のクリーニング条件は、NF3流量1slm、Ar流量2slm、上
下電極間隔14mm、チャンバ圧力670Pa、遠隔プラズマ源
電力2.7kW、シャワーヘッド温度300℃、サセプタ温度40
0℃、反応チャンバ内壁温度230℃、WHC内壁温度150℃で
ある。クリーニング終了点を確認するために高周波電力
(13.56MHz)50Wを印加し、上記(検証2)と同様の方法
でクリーニング速度を求めた。
【0078】膜厚測定法及び膜厚面内均一性の算出方法
は上記(検証3)の方法と同じであるが、膜厚測定は基
板の中心を原点とした(x,y)座標で(0,0)、(0,147)、(14
7,0)、(0,-147)、(-147,0)、(0,73)、(73,0)、(0,-7
3)、(-73,0)の9点で行った。
【0079】実験結果を表1に示す。
【0080】
【表1】
【0081】実験結果より、従来例に比べ実施例2は、
膜厚面内均一性及び膜応力は変わらないが、成膜速度が
約7%、クリーニング速度が約3倍、クリーニングサイ
クルが約4倍に向上している。これは、本発明に従う装
置によれば、膜厚面内均一性及び膜応力を損なうことな
く、成膜速度、クリーニング速度及びクリーニングサイ
クルを向上させることができることを示している。結果
として、装置一台の一時間当たりの1μmのプラズマ酸化
珪素膜の最大連続成膜処理枚数が従来の装置では12.6枚
/時であったのに対し、本発明に係る第2実施例の装置
では19.3枚/時と増加し、装置の生産性(スループッ
ト)が50%以上改善されることが分かった。
【0082】本発明の態様は、酸化珪素膜(SiO)の成膜
用プラズマCVD装置に限られるものではなく、例えば、
窒化珪素膜(SiN)、酸化窒化珪素膜(SiON)、炭化珪素膜
(SiC)、炭化酸化珪素膜(SiOC)等の絶縁膜、タングステ
ンシリサイド(WSi)、窒化チタン(TiN)等の導電膜の成膜
用プラズマCVD装置にも適用可能である。
【0083】
【効果】本発明により、平行平板プラズマCVD装置のク
リーニング処理速度を律速している上部電極表面のクリ
ーニング効率が向上し、チャンバ内壁全体のクリーニン
グ速度が大きいプラズマCVD装置を実現することができ
た。
【0084】また、上部電極表面と堆積物との付着力を
増すことができたことにより、チャンバクリーニング頻
度を最適化することができた。
【0085】結果として、不純物汚染が非常に少なく、
高い生産性を達成するプラズマCVD装置及び方法を与え
ることができた。
【図面の簡単な説明】
【図1】図1は、セルフクリーニング機構を有する従来
の平行平板プラズマCVD装置の略示図である。
【図2】図2は、本発明に従うセルフクリーニングを実
行するプラズマCVD装置の第1の実施例を示す。
【図3】図3は、上部電極温度とクリーニング速度の関
係を示すグラフである。
【図4】図4は、下部電極面積/基板面積に対するクリ
ーニング速度及び膜厚面内均一性の関係を示すグラフで
ある。
【図5】図5は、上部電極面積/下部電極面積に対する
クリーニング速度の関係を示すグラフである。
【図6】図6は、本発明に従うセルフクリーニングを実
行するプラズマCVD装置の第2の実施例を示す。
【符号の説明】
1 被処理体 2 反応チャンバ 3 サセプタ 4 シャワーヘッド 5 配管 6 バルブ 7 開口部 8 高周波電源 9 出力ケーブル 10 インピーダンス整合器 11 開口部 12 配管 13 遠隔プラズマ放電装置 14 配管 15 空冷ファン 16 シースヒータ 18 高周波電源 20 排気口 21 コンダクタンス調整弁 22 熱電対 23 バンドパスフィルタ 24 ソリッドステートリレー 25 温度調節器 26 交流電源
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 DA06 EA06 FA04 GA12 JA03 JA06 JA09 JA10 KA25 KA39 KA41 4M104 BB02 BB28 BB30 DD44 5F004 AA15 BA03 BA04 BB13 BB18 BB26 BD04 CA02 CA03 CA04 CB09 DA00 DA02 DA17 DA23 DA26 DB03 5F045 AA08 AB32 AC07 AC11 AD08 AE21 AF02 DP03 EB06 EE06 EF05 EH05 EH14 EK06 HA12

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】セルフクリーニングを実行するプラズマCV
    D装置であって、 反応チャンバと、 前記反応チャンバ内にあって、被処理体を載置しかつ加
    熱するためのサセプタであって、プラズマ生成のための
    一方の電極を兼ねるサセプタと、 前記反応チャンバ内にあって、前記サセプタと対向し、
    それと平行に設置され前記被処理体に反応ガスを噴射す
    るためのシャワーヘッドであって、プラズマ生成のため
    のもう一方の電極を兼ねるシャワーヘッドと、 前記シャワーヘッドを所定の温度に温度制御するための
    温度制御機構と、 前記反応チャンバの外部にあって遠隔的にクリーニング
    ガスを活性化するための遠隔プラズマ放電装置と、 前記サセプタ若しくは前記シャワーヘッドのいずれかに
    電気的に接続された高周波電力供給手段と、から成る装
    置。
  2. 【請求項2】セルフクリーニングを実行するプラズマCV
    D装置であって、 リアクタと、 前記リアクタ内にあって、被処理体を載置しかつ加熱す
    るためのサセプタであって、プラズマ生成のための一方
    の電極を兼ねるサセプタと、 前記サセプタを上下移動するための昇降手段と、 前記リアクタの天井にあって、前記サセプタと対向し、
    それと平行に設置され前記被処理体に反応ガスを噴射す
    るためのシャワーヘッドであって、プラズマ生成のため
    のもう一方の電極を兼ねるシャワーヘッドと、 前記シャワーヘッドを支持し、前記シャワーヘッドの周
    辺近傍に位置し、前記リアクタの内壁面に沿って環状に
    設けられた絶縁体から成るダクト手段と、 前記ダクト手段と同軸でその底面と僅かに隙間を形成す
    るように及び成膜時に前記サセプタとの間に僅かに隙間
    を形成するように設置された環状の絶縁体分離板であっ
    て、前記リアクタを反応チャンバと基板搬送チャンバと
    に実質的に分離する絶縁体分離板と、 前記基板搬送チャンバ内に不活性ガスを導入するための
    手段であって、成膜時に前記絶縁体分離板と前記サセプ
    タとの間に形成された隙間を通じて基板搬送チャンバか
    ら反応チャンバ方向に不活性ガスを流すところの手段
    と、 前記シャワーヘッドを所定の温度に温度制御するための
    温度制御機構と、 前記リアクタの外部にあって遠隔的にクリーニングガス
    を活性化するための遠隔プラズマ放電装置と、 前記サセプタ若しくは前記シャワーヘッドのいずれかに
    電気的に接続された高周波電力供給手段と、から成る装
    置。
  3. 【請求項3】請求項1または2に記載のプラズマCVD装
    置であって、前記所定の温度は200℃〜400℃である、と
    ころの装置。
  4. 【請求項4】請求項1または2に記載のプラズマCVD装
    置であって、前記温度制御機構は、前記シャワーヘッド
    に近接配置されたひとつ若しくはそれ以上の加熱手段
    と、温度測定手段と、前記加熱手段及び前記温度測定手
    段に結合された温度調節手段とから成る、ところの装
    置。
  5. 【請求項5】請求項4に記載のプラズマCVD装置であっ
    て、前記加熱手段はシースヒータであり、前記温度測定
    手段は熱電対である、ところの装置。
  6. 【請求項6】請求項1または2に記載のプラズマCVD装
    置であって、前記サセプタの表面積/前記被処理体の表
    面積の値が1.08〜1.38である、ところの装置。
  7. 【請求項7】請求項1または2に記載のプラズマCVD装
    置であって、前記シャワーヘッドの表面積/前記サセプ
    タの表面積の値が1.05〜1.44である、ところの装置。
  8. 【請求項8】請求項1に記載のプラズマCVD装置を使っ
    てセルフクリーニングを効率良く実行する方法であっ
    て、 前記サセプタの表面積/前記被処理体の表面積の値が1.
    08〜1.38になるようなサセプタを選択する工程と、 前記シャワーヘッドの表面積/前記サセプタの表面積の
    値が1.05〜1.44になるようなシャワーヘッドを選択する
    工程と、 前記シャワーヘッドの温度を200℃〜400℃に制御する工
    程と、 前記遠隔プラズマ放電装置を使ってクリーニングガスを
    活性化し、生成されたクリーニング活性種を前記反応チ
    ャンバ内に導入する工程と、 前記高周波電力供給手段によって、前記サセプタと前記
    シャワーヘッドとの間の反応空間にプラズマを発生させ
    る工程と、 前記反応チャンバ内部を圧力制御する工程と、から成る
    方法。
  9. 【請求項9】請求項8に記載の方法であって、さらにセ
    ルフクリーニングの頻度を最適化する工程を含む、とこ
    ろの方法。
  10. 【請求項10】請求項9に記載の方法であって、セルフ
    クリーニングの頻度を最適化する工程は、 200℃〜400℃に制御されたシャワーヘッドの各温度に対
    して、連続処理可能な積算膜厚の上限値を求める工程
    と、 被処理体上に成膜する膜厚で前記上限値を割り算し、最
    大のクリーニングサイクルを求める工程と、から成る方
    法。
JP2001361669A 2001-11-27 2001-11-27 セルフクリーニングを実行するプラズマcvd装置及び方法 Expired - Lifetime JP4121269B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2001361669A JP4121269B2 (ja) 2001-11-27 2001-11-27 セルフクリーニングを実行するプラズマcvd装置及び方法
US10/304,115 US20030097987A1 (en) 2001-11-27 2002-11-22 Plasma CVD apparatus conducting self-cleaning and method of self-cleaning
EP02258147A EP1315194A3 (en) 2001-11-27 2002-11-27 Plasma CVD apparatus and method with self-cleaning capability
KR1020020074386A KR101024891B1 (ko) 2001-11-27 2002-11-27 자기 세정을 수행하는 플라즈마 cvd 장치 및 자기 세정 방법
US11/177,179 US20050242061A1 (en) 2001-11-27 2005-07-08 Self-cleaning method for plasma CVD apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001361669A JP4121269B2 (ja) 2001-11-27 2001-11-27 セルフクリーニングを実行するプラズマcvd装置及び方法

Publications (2)

Publication Number Publication Date
JP2003163208A true JP2003163208A (ja) 2003-06-06
JP4121269B2 JP4121269B2 (ja) 2008-07-23

Family

ID=19172284

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001361669A Expired - Lifetime JP4121269B2 (ja) 2001-11-27 2001-11-27 セルフクリーニングを実行するプラズマcvd装置及び方法

Country Status (4)

Country Link
US (2) US20030097987A1 (ja)
EP (1) EP1315194A3 (ja)
JP (1) JP4121269B2 (ja)
KR (1) KR101024891B1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005317958A (ja) * 2004-04-12 2005-11-10 Applied Materials Inc 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計
KR100725721B1 (ko) 2005-05-10 2007-06-08 피에스케이 주식회사 다운스트림 방식의 플라즈마 처리를 위한 방법
US7267725B2 (en) 2003-05-09 2007-09-11 Asm Japan K.K. Thin-film deposition apparatus
JP2008509560A (ja) * 2004-08-03 2008-03-27 アプライド マテリアルズ インコーポレイテッド Pecvd用途のための加熱型ガスボックス
JP2009038102A (ja) * 2007-07-31 2009-02-19 Renesas Technology Corp 半導体集積回路装置の製造方法
WO2009157084A1 (ja) 2008-06-27 2009-12-30 三菱重工業株式会社 真空処理装置および真空処理装置の運転方法
JP2012256942A (ja) * 2004-12-21 2012-12-27 Applied Materials Inc 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
CN104241070A (zh) * 2013-06-24 2014-12-24 中微半导体设备(上海)有限公司 用于感应耦合等离子体腔室的气体注入装置
WO2016085638A1 (en) * 2014-11-26 2016-06-02 Applied Materials, Inc Methods and systems to enhance process uniformity
JP2017533599A (ja) * 2014-10-06 2017-11-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サーマルリッドを有する原子層堆積チャンバ
KR101876100B1 (ko) * 2016-12-29 2018-07-06 한경대학교 산학협력단 오염된 환경에서 운영되는 화학 기상 증착 공정 중 반응실 내벽에 흡착된 오염물질의 박막 내 유입을 억제하는 방법 및 시스템

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
KR100511914B1 (ko) * 2003-05-09 2005-09-02 주식회사 하이닉스반도체 피이사이클 시브이디법을 이용한 반도체소자의 제조방법
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
KR100577909B1 (ko) * 2003-11-20 2006-05-10 주식회사 에버테크 유니버설 박막증착장치
JP4312063B2 (ja) 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060275931A1 (en) * 2005-05-20 2006-12-07 Asm Japan K.K. Technology of detecting abnormal operation of plasma process
JP2006351814A (ja) * 2005-06-15 2006-12-28 Tokyo Electron Ltd クリーニング方法、コンピュータプログラム及び成膜装置
JP4758159B2 (ja) * 2005-07-19 2011-08-24 株式会社日立ハイテクノロジーズ プラズマエッチング装置および微粒子除去方法
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
DE102006018515A1 (de) * 2006-04-21 2007-10-25 Aixtron Ag CVD-Reaktor mit absenkbarer Prozesskammerdecke
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8382898B2 (en) 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
US8585820B2 (en) * 2006-11-22 2013-11-19 Soitec Abatement of reaction gases from gallium nitride deposition
WO2008130448A2 (en) 2006-11-22 2008-10-30 S.O.I.Tec Silicon On Insulator Technologies Temperature-controlled purge gate valve for chemical vapor deposition chamber
JP5656184B2 (ja) 2006-11-22 2015-01-21 ソイテック 三塩化ガリウムの噴射方式
EP2066496B1 (en) * 2006-11-22 2013-04-10 Soitec Equipment for high volume manufacture of group iii-v semiconductor materials
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
US20080236483A1 (en) * 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
US7638441B2 (en) * 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US7632549B2 (en) * 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
KR101412034B1 (ko) * 2008-06-18 2014-06-26 주식회사 원익아이피에스 가스분사조립체 및 이를 이용한 박막증착장치
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
US8308871B2 (en) * 2008-11-26 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal cleaning gas production and supply system
KR20100069392A (ko) * 2008-12-16 2010-06-24 삼성전자주식회사 증착, 식각 혹은 클리닝 공정에서 증착, 식각 혹은 클리닝 종료 시점을 결정하기 위하여 수정 결정 미소저울을 이용하는 반도체 소자의 제조장치 및 이를 이용한 제조방법
KR101123584B1 (ko) * 2009-09-17 2012-03-22 주성엔지니어링(주) 플라즈마 처리장치 및 처리방법
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US20120211029A1 (en) * 2011-02-22 2012-08-23 Pandit Viraj S Load lock assembly and method for particle reduction
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
CN102925874A (zh) * 2011-08-09 2013-02-13 无锡华润上华科技有限公司 一种cvd反应腔体的清洁方法和系统
JP5750339B2 (ja) * 2011-08-31 2015-07-22 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
CN103071647A (zh) * 2012-01-21 2013-05-01 光达光电设备科技(嘉兴)有限公司 喷淋头的清洗方法
DE102012101438B4 (de) * 2012-02-23 2023-07-13 Aixtron Se Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
CN108277478B (zh) * 2012-05-29 2020-03-20 周星工程股份有限公司 基板加工装置及基板加工方法
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
JP6470060B2 (ja) * 2015-01-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP2017022343A (ja) * 2015-07-15 2017-01-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体製造装置、ウエハリフトピン穴清掃治具
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10044338B2 (en) * 2015-10-15 2018-08-07 Lam Research Corporation Mutually induced filters
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
KR102269344B1 (ko) * 2017-07-25 2021-06-28 주식회사 원익아이피에스 기판처리장치
JP6911705B2 (ja) * 2017-10-27 2021-07-28 東京エレクトロン株式会社 成膜装置及び成膜装置の運転方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
JP2023501132A (ja) * 2019-11-01 2023-01-18 ラム リサーチ コーポレーション シャワーヘッドを洗浄するためのシステムおよび方法
KR20210126387A (ko) * 2020-04-10 2021-10-20 주성엔지니어링(주) 기판 처리 장치 및 방법
US20210335586A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Methods and apparatus for cleaning a showerhead
CN113130354A (zh) * 2021-04-09 2021-07-16 长鑫存储技术有限公司 半导体生产装置
KR102622210B1 (ko) 2021-07-19 2024-01-08 주식회사 싸이노스 세정장치

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5209182A (en) * 1989-12-01 1993-05-11 Kawasaki Steel Corporation Chemical vapor deposition apparatus for forming thin film
US5336640A (en) * 1991-01-28 1994-08-09 Kawasaki Steel Corporation Method of manufacturing a semiconductor device having an insulating layer composed of a BPSG film and a plasma-CVD silicon nitride film
JP3140068B2 (ja) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 クリーニング方法
JP2888026B2 (ja) * 1992-04-30 1999-05-10 松下電器産業株式会社 プラズマcvd装置
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
WO1998053484A1 (en) * 1997-05-20 1998-11-26 Tokyo Electron Limited Processing apparatus
JPH1116858A (ja) * 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6271129B1 (en) * 1997-12-03 2001-08-07 Applied Materials, Inc. Method for forming a gap filling refractory metal layer having reduced stress
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
TW400548B (en) * 1998-06-30 2000-08-01 United Microelectronics Corp The method of improving the uniformity of the thin film's thickness
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000323467A (ja) * 1999-05-11 2000-11-24 Nippon Asm Kk 遠隔プラズマ放電室を有する半導体処理装置
US6442567B1 (en) * 1999-05-14 2002-08-27 Appintec Corporation Method and apparatus for improved contact and activity management and planning
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6485603B1 (en) * 1999-07-01 2002-11-26 Applied Materials, Inc. Method and apparatus for conserving energy within a process chamber
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
KR100709801B1 (ko) * 1999-11-17 2007-04-23 동경 엘렉트론 주식회사 프리코트막의 형성방법, 성막장치의 아이들링 방법,재치대 구조, 성막장치 및 성막방법
JP5165825B2 (ja) * 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2001298019A (ja) * 2000-04-13 2001-10-26 Mitsubishi Electric Corp プラズマcvd装置および酸化膜の製造方法
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
TWI334888B (ja) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6482477B1 (en) * 2000-11-28 2002-11-19 Tokyo Electron Limited Method for pretreating dielectric layers to enhance the adhesion of CVD metal layers thereto
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
KR100749377B1 (ko) * 2001-02-09 2007-08-14 동경 엘렉트론 주식회사 성막 장치
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030121898A1 (en) * 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7267725B2 (en) 2003-05-09 2007-09-11 Asm Japan K.K. Thin-film deposition apparatus
JP2005317958A (ja) * 2004-04-12 2005-11-10 Applied Materials Inc 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計
JP4889640B2 (ja) * 2004-08-03 2012-03-07 アプライド マテリアルズ インコーポレイテッド 処理領域で基板に化学気相堆積を行うためのチャンバ
JP2008509560A (ja) * 2004-08-03 2008-03-27 アプライド マテリアルズ インコーポレイテッド Pecvd用途のための加熱型ガスボックス
JP2012256942A (ja) * 2004-12-21 2012-12-27 Applied Materials Inc 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
KR100725721B1 (ko) 2005-05-10 2007-06-08 피에스케이 주식회사 다운스트림 방식의 플라즈마 처리를 위한 방법
JP2009038102A (ja) * 2007-07-31 2009-02-19 Renesas Technology Corp 半導体集積回路装置の製造方法
WO2009157084A1 (ja) 2008-06-27 2009-12-30 三菱重工業株式会社 真空処理装置および真空処理装置の運転方法
US8529704B2 (en) 2008-06-27 2013-09-10 Mitsubishi Heavy Industries, Ltd. Vacuum processing apparatus and operating method for vacuum processing apparatus
CN104241070A (zh) * 2013-06-24 2014-12-24 中微半导体设备(上海)有限公司 用于感应耦合等离子体腔室的气体注入装置
JP2017533599A (ja) * 2014-10-06 2017-11-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サーマルリッドを有する原子層堆積チャンバ
WO2016085638A1 (en) * 2014-11-26 2016-06-02 Applied Materials, Inc Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR101876100B1 (ko) * 2016-12-29 2018-07-06 한경대학교 산학협력단 오염된 환경에서 운영되는 화학 기상 증착 공정 중 반응실 내벽에 흡착된 오염물질의 박막 내 유입을 억제하는 방법 및 시스템

Also Published As

Publication number Publication date
EP1315194A2 (en) 2003-05-28
EP1315194A3 (en) 2006-10-04
US20030097987A1 (en) 2003-05-29
US20050242061A1 (en) 2005-11-03
KR20030043760A (ko) 2003-06-02
JP4121269B2 (ja) 2008-07-23
KR101024891B1 (ko) 2011-03-31

Similar Documents

Publication Publication Date Title
JP4121269B2 (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
EP1352990B1 (en) Apparatus and method for CVD
EP0780490B1 (en) Apparatus for reducing residues in semiconductor processing chambers
US7500445B2 (en) Method and apparatus for cleaning a CVD chamber
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
US20090155488A1 (en) Shower plate electrode for plasma cvd reactor
JP2001274105A (ja) セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置
KR100727733B1 (ko) 성막 장치
JP2004228591A (ja) 自動清浄シーケンスにより薄膜形成装置内部を清浄化するための方法
US20090317565A1 (en) Plasma cvd equipment
JP3946640B2 (ja) プラズマ処理装置およびプラズマ処理方法
WO2020081303A1 (en) In situ protective coating of chamber components for semiconductor processing
US7569111B2 (en) Method of cleaning deposition chamber
JPH11345778A (ja) 成膜装置のクリーニング方法及びそのクリーニング機構
JP2000323467A (ja) 遠隔プラズマ放電室を有する半導体処理装置
JP2006319042A (ja) プラズマクリーニング方法、成膜方法
JP3432722B2 (ja) プラズマ成膜処理方法及びプラズマ処理装置
JP2000003907A (ja) クリーニング方法及びクリーニングガス生成装置
JPH06151412A (ja) プラズマcvd装置
JPH05267194A (ja) プラズマcvd装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051114

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070717

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070815

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070815

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20071015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080117

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080424

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080428

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110509

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4121269

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110509

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120509

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130509

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130509

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term