JP2002520835A - 処理装置用ガス分配プレート - Google Patents
処理装置用ガス分配プレートInfo
- Publication number
- JP2002520835A JP2002520835A JP2000559278A JP2000559278A JP2002520835A JP 2002520835 A JP2002520835 A JP 2002520835A JP 2000559278 A JP2000559278 A JP 2000559278A JP 2000559278 A JP2000559278 A JP 2000559278A JP 2002520835 A JP2002520835 A JP 2002520835A
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- showerhead
- interior region
- plasma source
- fluorine
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000009826 distribution Methods 0.000 title claims abstract description 5
- 229910052782 aluminium Inorganic materials 0.000 claims abstract description 41
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims abstract description 41
- 239000000758 substrate Substances 0.000 claims abstract description 29
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 28
- 239000011737 fluorine Substances 0.000 claims abstract description 28
- 238000004140 cleaning Methods 0.000 claims abstract description 27
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 24
- 239000000463 material Substances 0.000 claims abstract description 15
- 230000007246 mechanism Effects 0.000 claims abstract description 7
- 239000007789 gas Substances 0.000 claims description 62
- 238000000034 method Methods 0.000 claims description 48
- 239000002243 precursor Substances 0.000 claims description 21
- 150000001875 compounds Chemical class 0.000 claims description 10
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminum fluoride Inorganic materials F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 claims description 6
- IRPGOXJVTQTAAN-UHFFFAOYSA-N 2,2,3,3,3-pentafluoropropanal Chemical compound FC(F)(F)C(F)(F)C=O IRPGOXJVTQTAAN-UHFFFAOYSA-N 0.000 claims description 5
- 230000003213 activating effect Effects 0.000 claims description 5
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 claims description 5
- 229920006362 Teflon® Polymers 0.000 claims description 2
- 239000004809 Teflon Substances 0.000 claims 1
- 239000000356 contaminant Substances 0.000 abstract description 7
- 230000001681 protective effect Effects 0.000 abstract description 2
- 238000004381 surface treatment Methods 0.000 abstract 1
- 230000008569 process Effects 0.000 description 24
- 238000000151 deposition Methods 0.000 description 22
- 230000008021 deposition Effects 0.000 description 22
- 239000010410 layer Substances 0.000 description 16
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 10
- 239000011521 glass Substances 0.000 description 7
- 230000004913 activation Effects 0.000 description 6
- 239000011241 protective layer Substances 0.000 description 6
- 239000002253 acid Substances 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 238000002310 reflectometry Methods 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 238000003754 machining Methods 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000005108 dry cleaning Methods 0.000 description 2
- 239000012776 electronic material Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910001094 6061 aluminium alloy Inorganic materials 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 229910019142 PO4 Inorganic materials 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 241000183290 Scleropages leichardti Species 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000007743 anodising Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000005234 chemical deposition Methods 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 1
- 239000010452 phosphate Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70908—Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
- G03F7/70925—Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3322—Problems associated with coating
- H01J2237/3325—Problems associated with coating large area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/905—Cleaning of reaction chamber
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Life Sciences & Earth Sciences (AREA)
- Atmospheric Sciences (AREA)
- Environmental & Geological Engineering (AREA)
- General Physics & Mathematics (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
号、発明の名称「遠隔励起ソースを使用する堆積チャンバ洗浄技術」に関連する
。その出願は、本発明の譲受人へ譲渡されており、その全体を引用して本明細書
に組込まれる。
のガス取入マニホールドまたはシャワーヘッドに関する。
タディスプレイ等の用途で使用されている。各ガラス基板は、多数のディスプレ
イモニタを形成することができ、その各々が百万個を超える薄膜トランジスタを
含んでいる。
しかし、その傾向は、例えば、650mmx830mm、およびそれ以上の更に
大きな基板サイズへ向かっており、それにより更に多くのディスプレイが基板上
に形成されることが可能になり、または、より大型のディスプレイの生産が可能
になる。より大きなこのサイズは、処理装置の能力に対して更に多くの要求をも
たらす。
相成長(PVD)プロセス、またはエッチングプロセスの実行を含め、多数の逐
次ステップの実行を伴うことが多い。ガラス基板を処理する装置は、これらのプ
ロセス実行用の一つ以上のプロセスチャンバを含むことができる。
ためのガラス基板の処理に広く使用される別のプロセスである。PECVDプロ
セスで、基板は、一対の平行なプレート電極を装備する真空堆積チャンバ内に置
かれる。基板は、一般に、下側電極としても役を果すサセプタ上に取り付けられ
る。反応性ガスの流れが、上側電極としても役を果すガス取入マニホールドまた
はシャワーヘッドを通して堆積チャンバ内に供給される。高周波(RF)電圧が
二つの電極間に印加され、反応性ガス中にプラズマが形成させるのに十分なRF
パワーを生成する。プラズマは反応性ガスを分解させ、所望の材料の層を基板本
体の表面上に堆積させる。他の電子材料の追加層は、他の反応性ガスをチャンバ
内へ流入することによって第1層上に堆積できる。各反応性ガスはプラズマにさ
らされ、その結果、所望材料の層の堆積を生じる。
、いくらかの材料はチャンバ内の他の内部表面上にも堆積される。装置は繰返し
使用された後に、チャンバに集積した材料の堆積層を除去するために洗浄されね
ばならない。チャンバおよびチャンバ内の露出構成要素を洗浄するために、in
−situドライ洗浄プロセスがしばしば使用される。一つのin−situ技
術によると、前駆体ガスがチャンバへ供給される。次に、チャンバ内で前駆体ガ
スへグロー放電プラズマを局所的に適用することによって、反応性核種が生成さ
れる。反応性核種は、これらの表面に堆積された材料と揮発性化合物を形成する
ことによってチャンバ表面を洗浄する。
内でプラズマを使用して反応性核種を生成することは効率的でない。従って、容
認できる洗浄速度を達成するために比較的高いパワーを使用することが必要であ
る。しかし、高いパワーレベルは、チャンバ内側のハードウエアへ損傷を引起し
がちであり、それによって、その有効寿命を著しく短縮する。損傷を受けたハー
ドウエアの交換は大変コストがかかるので、堆積装置を使用して処理される製品
の基板当りコストを著しく増加させる。現在、コスト重視の購入者にとり基板当
りコストが重要な、競争の激しい半導体製造業界では、洗浄プロセス中に損傷を
受けた部品を定期的に交換しなくてはならないことに起因する操業コストの増加
は、非常に望ましくない。
レートを達成するために必要とされる高パワーレベルが、他の装置構成要素を損
傷し得る、またはチャンバの内部表面を物理的に拭い落さねば除去され得ない、
残渣または副生成物も生成しがちな点である。一例として、チャンバまたはプロ
セス構成要素一式(例えば、ヒータ、シャワーヘッド、クランプリング等)がア
ルミニウムで作成される堆積装置では、フッ化窒素(NF3)プラズマが内部表
面の洗浄に使用されることが多い。洗浄プロセス中に、特定量のフッ化アルミニ
ウム(AlxFy)が形成される。形成される量は、高いプラズマエネルギーレベ
ルに起因するイオンボンバードによって著しく増える。従って、かなりの量のA
lxFyが装置に形成されることがあり、表面を物理的に拭うことによって除去さ
れねばならない。
08/707,491号に記載されている。その出願に記載された技術は、堆積
チャンバの外側にある遠隔チャンバ内へ前駆体ガスを送出し、遠隔チャンバで前
駆体ガスを活性化して反応性核種を形成することを含む。例えば、NF3を含有
できる前駆体ガスの活性化は、遠隔活性化ソースを使用することによって実行さ
れる。反応性核種は、遠隔チャンバから堆積チャンバ内へ流され、堆積チャンバ
の内側の洗浄に使用される。遠隔プラズマソースを使用することにより、洗浄プ
ロセス中に発生する損傷を低減または排除可能となる。
ルミニウムで形成される。従来、in−situチャンバでのアルミニウムシャ
ワーヘッドの表面は、その信頼性を維持するよう陽極酸化される。例えば、シャ
ワーヘッドは、硫酸に浸すことによって陽極酸化でき、それによってシャワーヘ
ッド表面上に酸化アルミニウム(Al2O3)の層を形成する。遠隔プラズマソー
スを有する装置で陽極酸化アルミニウムシャワーヘッドを使用する欠点の一つは
、陽極酸化アルミニウムが、前駆体ガスNF3が活性化される際に形成する有意
な量のフッ素ラジカルを不活性化するらしい点である。その結果、チャンバを洗
浄できるレートが低下させられる。
されるプラズマソースとを含む。導管がプラズマソースをチャンバの内部領域へ
接続し、チャンバの内部表面を洗浄するために反応性核種をチャンバ内部へ供給
する。チャンバの内部領域へ与えられた陽極酸化されていないアルミニウムつま
り裸アルミニウムの外層を有するシャワーヘッドが、プラズマソースとチャンバ
内部との間に配設される。
ャワーヘッドの機械加工中またはその後に表面に蓄積した可能性のある種々の汚
染物質を除去するために、機械的、化学的、またはその他の技術を使用して処理
された表面を持つアルミニウム材料を指すと定義される。薄い自然酸化物がその
後にアルミニウム面上に形成されるかもしれないが、そのようなアルミニウムも
、本発明の目的のために依然「裸アルミニウム」と称する。
flon(登録商標)等のフッ素ベースの保護外層を伴うことができる。フッ素
ベースの外層は、例えば、電解研磨されたアルミニウム面のようなアルミニウム
材料上に配設できる。
マ化学堆積チャンバ等のCVDチャンバであることができ、高周波(RF)でパ
ワー供給される複数電極を含むことができる。シャワーヘッドは、電極の一つと
して役を果し得るとともに、ガス分配機構としての役も果し、チャンバの領域へ
実質的に一様なガス流を提供する。プラズマソースは、例えば、フッ化窒素等の
フッ素ベース化合物を含む前駆体ガスソースを含むことができる。
形成するステップと、反応性核種を、陽極酸化されていない、つまり裸アルミニ
ウム面または不活性フッ素ベース化合物を含む外面を有するシャワーヘッドを経
由して、チャンバの内部領域へ供給するステップとを含む。
は、前駆体ガスを活性化するステップを含む。前駆体ガスは、例えば、フッ化窒
素等のフッ素ベース化合物を含むことができる。反応性核種は、例えば、フッ素
ラジカルを含むことができる。他の前駆体ガスおよび反応性核種も使用できる。
ンバの内面上に堆積された材料の除去レートを高めることができる。換言すれば
、チャンバ洗浄プロセスは、陽極酸化されたシャワーヘッドを持つチャンバの能
力に比べて、より効率的になされことができ、従って、より早く完了できる。加
えて、変更されたシャワーヘッドは、洗浄プロセスの間、より少量のフッ素ラジ
カルを吸収する傾向にあるので、処理中に基板が汚染される可能性は少ない。更
に、変更されたシャワーヘッドは、陽極酸化されたシャワーヘッドに比べて、結
果としてより高い反射率をもたらす。より高いこの反射率によって、処理中にチ
ャンバで基板を加熱する熱ソースは更に効率的に用いられることになる。
易に明白になろう。
プロセスチャンバを有する装置の一部であり、それは、例えば、アモルファスシ
リコン、窒化ケイ素、酸化ケイ素、および酸窒化物の各膜をガラスまたは他の基
板上に堆積するのに使用できる。PECVD装置10は、例えば、アクティブマ
トリクス液晶ディスプレイの生産に使用できる。
ッド16とを有する堆積チャンバ12を含む。一般に、シャワーヘッド16は、
ガスをチャンバ12の内部領域へ送ることを可能にする。図示実施例では、シャ
ワーヘッド16は、第1電極としての役を果す。代替の実施の形態では、上部壁
14は、上部壁の内面に隣接する電極16を持つ中実体であり得る。シャワーヘ
ッド16はガス分配機構としても役を果し、チャンバの内部へ実質的に一様なガ
ス流を提供する。プレート形状のサセプタ18が、第1電極16に平行してチャ
ンバ12内で延在する。
あるRF電源36へ接続される。一実施例では、ガス取入マニホールド16は、
実質的に長方形のシャワーヘッド15(図2A)を含み、それは、シャワーヘッ
ドの上面15Aからその底面15B(図2A〜2B)まで延在する多数の円錐形
孔17を持つ、例えば、アルミニウムまたはアルミニウム合金を含む。孔17は
相互に同じ間隔で離間し、それにより、略600mmx700mmの寸法を有す
るシャワーヘッドは数千の孔を含むことができる。
できる。ササプタ18内に埋め込まれて、サセプタを加熱するよう制御される一
つ以上の加熱素子がある。サセプタ18は、第2電極としての役を果すよう接地
接続され、チャンバ12の底壁22を貫通して垂直方向に延在するシャフト20
の端部に搭載される。シャフト20は、垂直に可動であり、第1電極16に向か
ったり離れたりするサセプタ18の垂直の移動を可能にする。
にサセプタと実質的に平行して水平に延在し、垂直に可動である。リフトオフピ
ン26は、リフトオフプレート24から上方向に垂直に突出する。リフトオフピ
ン26は、サセプタ18のリフト孔28を通って延在できるように位置決めされ
、その長さはサセプタの厚さより僅かに長い。図1には2本のリフトオフピン2
6だけが示されているが、リフトオフプレート24の周りに間を隔て追加のリフ
トオフピンがあってもよい。
するためにポンプ(図示せず)へ接続される。
ガス切換ネットワークを介して種々のガスのソースへ接続される。チャンバ12
の外側に配置されるガス供給源52は、堆積中に使用されるガスを収容する。使
用される特定ガスは、基板上に堆積される材料に依存する。プロセスガスは、取
入パイプ42を通りガスマニホールド16内へ、それから、チャンバへ流入する
。電子作動弁および流量コントロール機構54が、ガス供給源からチャンバ12
内に至るガスの流れを制御する。
ス供給装置は、一連の堆積運転後にチャンバの内側を洗浄するために使用される
ガスを供給する。本明細書で使用される場合に、「洗浄する」という言葉は、チ
ャンバの内面から堆積された材料を除去することを指す。場合によっては、第1
と第2のガス供給源を、併用することができる。
から距離を隔てて配置される遠隔活性化チャンバ66と、遠隔活性化チャンバ内
で前駆体ガスを活性化するための電源68と、電子作動弁および流量コントロー
ル機構70と、遠隔チャンバを堆積チャンバ12へ接続する導管つまりパイプ7
7とを含む。そのような構成は、チャンバの内面を、遠隔プラズマソースを使用
して洗浄することを可能にする。
と堆積チャンバ12との間の経路のどこに設けてもよい。そのような流量絞りは
、遠隔チャンバ66と堆積チャンバ10との間に圧力差が存在することを可能に
する。
チャンバ66内へ、ユーザ選択の流量でガスを送出する。電源68は、前駆体ガ
スを活性化し、反応性核種を形成し、それは次に導管77を通り取入パイプ42
を経由して堆積チャンバへ流入される。従って、上側電極つまりガス取入マニホ
ールド16を使用して、反応性ガスを、堆積チャンバの内部領域内へ送出する。
上記実施例では、遠隔のチャンバはサファイアチューブであり、電源は、その出
力がサファイアチューブに向けられる2.54ギガヘルツ(GHz)の高パワー
マイクロ波発生器である。
化チャンバへ接続される、少量キャリアガスのソース72があってもよい。少量
キャリアガスは、堆積チャンバへの活性化核種の輸送を支援し、使用されている
特定の洗浄プロセスと相性の良い任意の非反応性ガスでよい。例えば、少量キャ
リアガスは、アルゴン、窒素、ヘリウム、水素、または酸素、等でよい。堆積チ
ャンバまでの活性化核種の輸送を支援するのに加えて、キャリアガスは、洗浄プ
ロセスを支援したり、あるいは堆積チャンバ内でのプラズマの始動および/また
は安定化も支援できる。
ープされたシリコン、窒化ケイ素(Si3N4)、または、酸化ケイ素(SiO2
)が堆積されたチャンバを洗浄するのに好適である。他の実施例では、前駆体ガ
スは、四フッ化炭素(CF4)、六フッ化硫黄(SF6)、ペルフルオロエタン(
C2F6)等、他のフッ素ベースのガスを含むことができる。使用される特定ガス
は、除去中の堆積材料に依存する。
れていないアルミニウム面を持つアルミニウムで形成される。図3は、そのよう
なシャワーヘッドを形成するための一つの技術を示す。第1に、ステップ100
で示すように、アルミニウムまたはアルミニウム合金のブロックが、孔17を含
む所望形状のシャワーヘッド15へ機械的に成形される。次に、一つ以上の化学
的、機械的、またはその他のプロセスが実行され、機械加工中、またはその後に
アルミニウム面上に蓄積された可能性のある、表面の、汚れ、処理油、異物金属
の痕跡、または残渣膜等の汚染物を除去する。例えば、標準の電解研磨処理が、
そのような汚染物を除去するよう実行できる(ステップ102)。一実施例では
、機械加工された装置が、硝酸浴中に約1分間入れられる。シャワーヘッドを、
次に、水でリンスできる(ステップ104)。結果として得られたものは、裸ア
ルミニウム面80を持つシャワーヘッドである(図5A)。
でできているシャワーヘッドとを使用する、少なくとも一つのPECVD構成に
おいて、チャンバ壁からのSiNの除去レートつまりエッチングレートは、陽極
酸化されたシャワーヘッドに対して約28%高まったことを実験結果が示した。
更に、電解研磨されたシャワーヘッドは、チャンバ壁からのSiNの除去レート
を陽極酸化されたシャワーヘッドに対して約50%高めた。
ニウム(AlF3)または他のフッ素ベース化合物の薄い皮膜81が、シャワー
ヘッド15の外面上へ設けることができる(図5B)。一般に、フッ素ベース化
合物は、シャワーヘッド15上に不活性層を形成する能力を有するのがよい。例
えば、Teflon(登録商標) 保護層をシャワーヘッド15の表面上に設け
ることができる。
ロックが、所望形状に機械的に成形され(ステップ110)、例えば、リン酸浴
中に機械加工されたシャワーヘッドを置くことによって電解研磨処理が実行され
、機械加工中に蓄積された可能性のある膜の層を除去する(ステップ112)。
次に、フッ化アルミニウム保護層が、シャワーヘッドの表面上に形成される(ス
テップ114)。一実施例では、電解研磨されたシャワーヘッドが、フッ化水素
(HF)酸槽に入れられる。例えば、シャワーヘッドはHF酸の2〜5%溶液中
にほぼ1〜5分間入れられる。シャワーヘッドがHF酸槽内にある間、酸は循環
または撹拌されねばならない。次に、シャワーヘッドはHF酸槽から取出され、
脱イオン水でリンスされ、送風乾燥される(ステップ116)。シャワーヘッド
は、約100°Cでほぼ1時間焼成でき、より徹底的に乾燥される。
用して形成できる。例えば、シャワーヘッドを化学研磨した後に、シャワーヘッ
ドはチャンバにほぼ1時間入れられる。フッ素ガスが、チャンバの内部へ約1〜
10Torrの圧力および約350°Cの温度で供給される。
護層を形成することが望ましいとはいえ、そうする必要はない。例えば、フッ素
ベース層は、先に検討した種々の汚染物質が除去されていない、または、部分的
にだけ除去されたアルミニウム面上に形成できる。その上、フッ素ベース層は、
陽極酸化されたアルミニウム面上にも形成できる。
理することが望ましい。しかし、本発明の利点の多くは、チャンバ10の内部に
面するつまりそこへ与えられるシャワーヘッドの表面領域だけを処理することに
よって獲得できる。
シャワーヘッド、または、フッ化物ベース保護被覆を持つシャワーヘッドを使用
することは、チャンバ12の内面上に堆積された材料の除去レートを高めること
に加えて、他の利点を提供できる。例えば、陽極酸化されていないアルミニウム
または電解研磨されたシャワーヘッド15の反射率は、一般的に、陽極酸化され
たアルミニウムのシャワーヘッドに比べて大きい。高反射率によって、処理中に
チャンバ内で基板を加熱する熱ソースがより効率的に用いられることになる。更
に、陽極酸化されていないシャワーヘッド、電解研磨されたシャワーヘッド、お
よび、フッ化物ベース保護層を持つシャワーヘッドの使用は、基板上への薄膜堆
積中に発生するかもしれない汚染物質の量を低減できる。低減された汚染物質は
、洗浄プロセス中にシャワーヘッドによって吸収されるフッ素ラジカルが少ない
ことに起因する。
されたシャワーヘッドは、陽極酸化されたシャワーヘッドに比べて多孔性が少な
いと考えられる。以前から使用されてきた陽極酸化シャワーヘッドは、フッ素ラ
ジカルを不活性化する触媒として作用する可能性があり、プロセスガスをシャワ
ーヘッドに蓄積させる可能性があり、それによって、洗浄ガスの効率を低下させ
る。陽極酸化されていない、即ち電解研磨されたシャワーヘッドは、保護層の追
加と同様に、フッ素ラジカルのシャワーヘッドへの蓄積の量を減らす助けをする
可能性があり、シャワーヘッドがフッ素ラジカルを不活性化する触媒として作用
するのを阻止する助けをする可能性がある。いずれにしろ、シャワーヘッドの性
能改善の背後の理論は、本発明にとって重要ではない。
よびエッチング装置を含め、他の装置、そしてフッ素ベース核種を持つ遠隔プラ
ズマソースを使用する他の装置とともに、使用できる。
。 Bは、本発明によるフッ素ベース化合物の外側皮膜を持つシャワーヘッドの部
分断面を示す。
Claims (19)
- 【請求項1】 基板処理装置であって、前記装置は: 内部領域を有する処理チャンバと; 前記チャンバの外部に配置されるプラズマソースと; 前記チャンバの内部表面を洗浄するために、前記プラズマソースを前記チャン
バの内部領域へ接続して前記チャンバ内部へ反応性核種を供給する導管と; 前記プラズマソースと前記チャンバの前記内部領域との間に配設されるシャワ
ーヘッドであって、前記シャワーヘッドは、前記チャンバの前記内部領域へ与え
られる陽極酸化されていないアルミニウム面を含む、シャワーヘッドと、 を備える、基板処理装置。 - 【請求項2】 基板処理装置であって、前記装置は: 内部領域を有する処理チャンバと; 前記チャンバの外部に配置されるプラズマソースと; 前記チャンバの内部表面を洗浄するために、前記プラズマソースを前記チャン
バの内部領域へ接続して前記チャンバ内部へ反応性核種を供給する導管と; 前記プラズマソースと前記チャンバの前記内部領域との間に配設されるシャワ
ーヘッドであって、前記シャワーヘッドは、前記チャンバの前記内部領域へ与え
られる裸アルミニウム面を含む、シャワーヘッドと、 を備える、基板処理装置。 - 【請求項3】 前記シャワーヘッドの前記アルミニウム面は、電解研磨され
た表面を含む、 請求項1または2記載の装置。 - 【請求項4】 基板処理装置であって、前記装置は: 処理チャンバと; 前記チャンバの外部に配置されるプラズマソースと; 前記チャンバの内部表面を洗浄するために、前記プラズマソースを前記チャン
バの内部領域へ接続して前記チャンバ内部へ反応性核種を供給する導管と; 前記プラズマソースと前記チャンバの前記内部領域との間に配設されるシャワ
ーヘッドであって、前記シャワーヘッドは、前記チャンバの前記内部領域へ与え
られるフッ素ベースの外層を含む、シャワーヘッドと、 を備える、基板処理装置。 - 【請求項5】 前記フッ素ベースの外層は、アルミニウム材料上に配設され
る、 請求項4記載の装置。 - 【請求項6】 前記外層はフッ化アルミニウムを含む、 請求項5記載の装置。
- 【請求項7】 前記外層はテフロンを含む、 請求項4記載の装置。
- 【請求項8】 前記フッ素ベースの外層は、電解研磨されたアルミニウム面
上に配設される、 請求項4記載の装置。 - 【請求項9】 前記チャンバは、複数の高周波でパワー供給される電極を含
み、前記シャワーヘッドは前記電極の一つとして役を果す、 請求項1、2、または4記載の装置。 - 【請求項10】 前記シャワーヘッドは、ガス分配機構として役を果す、 請求項1、2、または4記載の装置。
- 【請求項11】 前記プラズマソースは、フッ素ベースの化合物を含む前駆
体ガスソースを含む、 請求項1、2、または4記載の装置。 - 【請求項12】 前記前駆体ガスソースはフッ化窒素を含む、 請求項11記載の装置。
- 【請求項13】 処理チャンバを洗浄する方法であって、前記方法は: 前記チャンバの外部で反応性核種を形成するステップと; 前記チャンバの内部領域へ与えられる陽極酸化されていないアルミニウム面を
有するシャワーヘッドを経由して、前記チャンバの前記内部領域へ前記反応性核
種を供給するステップと、 を含む方法。 - 【請求項14】 処理チャンバを洗浄する方法であって、前記方法は: 前記チャンバの外部で反応性核種を形成するステップと; 前記チャンバの内部領域へ与えられる裸アルミニウム面を有するシャワーヘッ
ドを経由して、前記チャンバの前記内部領域へ前記反応性核種を供給するステッ
プと、 を含む方法。 - 【請求項15】 処理チャンバを洗浄する方法であって、前記方法は: 前記チャンバの外部で反応性核種を形成するステップと; 前記チャンバの内部領域へ与えられるフッ素ベースの不活性化合物を含む外層
を有するシャワーヘッドを経由して、前記チャンバの前記内部領域へ前記反応性
核種を供給するステップと、 を含む方法。 - 【請求項16】 反応性核種を形成するステップは、前駆体ガスを活性化す
るステップを含む、 請求項13、14、または15記載の方法。 - 【請求項17】 前記前駆体ガスはフッ素ベースの化合物を含む、 請求項16記載の方法。
- 【請求項18】 前記前駆体ガスはフッ化窒素を含む、 請求項16記載の方法。
- 【請求項19】 前記反応性核種はフッ素ラジカルを含む、 請求項16記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/115,111 | 1998-07-13 | ||
US09/115,111 US6182603B1 (en) | 1998-07-13 | 1998-07-13 | Surface-treated shower head for use in a substrate processing chamber |
PCT/US1999/015867 WO2000003064A1 (en) | 1998-07-13 | 1999-07-13 | Gas distributor plate for a processing apparatus |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2002520835A true JP2002520835A (ja) | 2002-07-09 |
JP2002520835A5 JP2002520835A5 (ja) | 2006-01-05 |
JP4514336B2 JP4514336B2 (ja) | 2010-07-28 |
Family
ID=22359351
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000559278A Expired - Fee Related JP4514336B2 (ja) | 1998-07-13 | 1999-07-13 | 基板処理装置及びその洗浄方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US6182603B1 (ja) |
EP (1) | EP1102870A1 (ja) |
JP (1) | JP4514336B2 (ja) |
KR (2) | KR20060115926A (ja) |
TW (1) | TW585934B (ja) |
WO (1) | WO2000003064A1 (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005167019A (ja) * | 2003-12-03 | 2005-06-23 | Sharp Corp | トランジスタおよびそのゲート絶縁膜の成膜に用いるcvd装置 |
JP2005317958A (ja) * | 2004-04-12 | 2005-11-10 | Applied Materials Inc | 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 |
JP2007533139A (ja) * | 2004-04-08 | 2007-11-15 | アプライド マテリアルズ インコーポレイテッド | インサイチュ膜スタック処理のための方法及び装置 |
JP2009021624A (ja) * | 2008-09-08 | 2009-01-29 | Tokyo Electron Ltd | 処理装置及び処理装置のクリーニング方法 |
JP2013511128A (ja) * | 2009-11-11 | 2013-03-28 | アクセリス テクノロジーズ, インコーポレイテッド | 残留物を清浄する方法および装置 |
KR101265827B1 (ko) * | 2005-09-27 | 2013-05-20 | 램 리써치 코포레이션 | 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치및 방법들 |
US8574397B2 (en) | 2006-05-24 | 2013-11-05 | Lam Research Corporation | Bevel edge plasma chamber with top and bottom edge electrodes |
US9184043B2 (en) | 2006-05-24 | 2015-11-10 | Lam Research Corporation | Edge electrodes with dielectric covers |
Families Citing this family (551)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6136703A (en) * | 1998-09-03 | 2000-10-24 | Micron Technology, Inc. | Methods for forming phosphorus- and/or boron-containing silica layers on substrates |
US20020033183A1 (en) * | 1999-05-29 | 2002-03-21 | Sheng Sun | Method and apparatus for enhanced chamber cleaning |
US6503843B1 (en) * | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
JP4378806B2 (ja) * | 1999-09-28 | 2009-12-09 | 日本電気株式会社 | Cvd装置およびその基板洗浄方法 |
US6635570B1 (en) * | 1999-09-30 | 2003-10-21 | Carl J. Galewski | PECVD and CVD processes for WNx deposition |
JP2003533010A (ja) * | 1999-09-30 | 2003-11-05 | ラム リサーチ コーポレーション | 前処理を行なったガス整流板 |
KR100338768B1 (ko) * | 1999-10-25 | 2002-05-30 | 윤종용 | 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치 |
TW514996B (en) * | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6432255B1 (en) * | 2000-01-31 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus for enhancing chamber cleaning |
US7030335B2 (en) * | 2000-03-17 | 2006-04-18 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US6329297B1 (en) * | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
US6660126B2 (en) * | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6761796B2 (en) * | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
JP2002353184A (ja) * | 2001-05-28 | 2002-12-06 | Tokyo Electron Ltd | 基板処理方法及び基板処理装置 |
JP3990881B2 (ja) * | 2001-07-23 | 2007-10-17 | 株式会社日立製作所 | 半導体製造装置及びそのクリーニング方法 |
US6682627B2 (en) * | 2001-09-24 | 2004-01-27 | Applied Materials, Inc. | Process chamber having a corrosion-resistant wall and method |
US6670071B2 (en) * | 2002-01-15 | 2003-12-30 | Quallion Llc | Electric storage battery construction and method of manufacture |
JP2005523384A (ja) * | 2002-04-19 | 2005-08-04 | マットソン テクノロジイ インコーポレイテッド | 低蒸気圧のガス前駆体を用いて基板上にフィルムを蒸着させるシステム |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US6857433B2 (en) * | 2002-07-22 | 2005-02-22 | Air Products And Chemicals, Inc. | Process for cleaning a glass-coating reactor using a reactive gas |
US6955725B2 (en) * | 2002-08-15 | 2005-10-18 | Micron Technology, Inc. | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces |
US6884296B2 (en) * | 2002-08-23 | 2005-04-26 | Micron Technology, Inc. | Reactors having gas distributors and methods for depositing materials onto micro-device workpieces |
US20040040503A1 (en) * | 2002-08-29 | 2004-03-04 | Micron Technology, Inc. | Micromachines for delivering precursors and gases for film deposition |
US20040040502A1 (en) * | 2002-08-29 | 2004-03-04 | Micron Technology, Inc. | Micromachines for delivering precursors and gases for film deposition |
WO2004020694A1 (ja) * | 2002-08-30 | 2004-03-11 | Tokyo Electron Limited | 基板処理装置及び基板処理装置のクリーニング方法 |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7204912B2 (en) * | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US7166166B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
JP4204840B2 (ja) * | 2002-10-08 | 2009-01-07 | 株式会社日立国際電気 | 基板処埋装置 |
JP4133209B2 (ja) * | 2002-10-22 | 2008-08-13 | 株式会社神戸製鋼所 | 高圧処理装置 |
CN1249789C (zh) * | 2002-11-28 | 2006-04-05 | 东京毅力科创株式会社 | 等离子体处理容器内部件 |
WO2004095530A2 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Adjoining adjacent coatings on an element |
US7291566B2 (en) * | 2003-03-31 | 2007-11-06 | Tokyo Electron Limited | Barrier layer for a processing element and a method of forming the same |
US7335396B2 (en) * | 2003-04-24 | 2008-02-26 | Micron Technology, Inc. | Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers |
US20050022735A1 (en) * | 2003-07-31 | 2005-02-03 | General Electric Company | Delivery system for PECVD powered electrode |
US7344755B2 (en) * | 2003-08-21 | 2008-03-18 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers |
US7422635B2 (en) * | 2003-08-28 | 2008-09-09 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces |
US7056806B2 (en) * | 2003-09-17 | 2006-06-06 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces |
US7282239B2 (en) * | 2003-09-18 | 2007-10-16 | Micron Technology, Inc. | Systems and methods for depositing material onto microfeature workpieces in reaction chambers |
US7323231B2 (en) * | 2003-10-09 | 2008-01-29 | Micron Technology, Inc. | Apparatus and methods for plasma vapor deposition processes |
US7581511B2 (en) * | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7647886B2 (en) * | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US20050136684A1 (en) * | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US7472432B2 (en) * | 2003-12-30 | 2009-01-06 | Letty Ann Owen | Bathtub insert “Take-Five” |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US8357242B2 (en) | 2007-05-03 | 2013-01-22 | Jewett Russell F | Crystalline film devices, apparatuses for and methods of fabrication |
US20050221618A1 (en) * | 2004-03-31 | 2005-10-06 | Amrhein Frederick J | System for controlling a plenum output flow geometry |
US8083853B2 (en) * | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US20050233092A1 (en) * | 2004-04-20 | 2005-10-20 | Applied Materials, Inc. | Method of controlling the uniformity of PECVD-deposited thin films |
US20050249873A1 (en) * | 2004-05-05 | 2005-11-10 | Demetrius Sarigiannis | Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US20060005771A1 (en) * | 2004-07-12 | 2006-01-12 | Applied Materials, Inc. | Apparatus and method of shaping profiles of large-area PECVD electrodes |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US7622005B2 (en) * | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
US7699932B2 (en) * | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
KR100596488B1 (ko) * | 2004-06-16 | 2006-07-03 | 삼성전자주식회사 | 반도체 기판 가공 방법 |
US20050284573A1 (en) * | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
CN101871099B (zh) * | 2004-07-12 | 2013-09-25 | 应用材料公司 | 通过气体分散器弯曲性的等离子体均匀度控制 |
US20060011582A1 (en) * | 2004-07-14 | 2006-01-19 | Savas Stephen E | Fast isotropic etching system and process for large, non-circular substrates |
US20090011150A1 (en) * | 2004-08-04 | 2009-01-08 | Hyeong-Tag Jeon | Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias |
US20060054183A1 (en) * | 2004-08-27 | 2006-03-16 | Thomas Nowak | Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US20060062914A1 (en) * | 2004-09-21 | 2006-03-23 | Diwakar Garg | Apparatus and process for surface treatment of substrate using an activated reactive gas |
JP2006128370A (ja) * | 2004-10-28 | 2006-05-18 | Tokyo Electron Ltd | 成膜装置、成膜方法、プログラムおよび記録媒体 |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) * | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US20060165873A1 (en) * | 2005-01-25 | 2006-07-27 | Micron Technology, Inc. | Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes |
US20060225654A1 (en) * | 2005-03-29 | 2006-10-12 | Fink Steven T | Disposable plasma reactor materials and methods |
US20060236931A1 (en) * | 2005-04-25 | 2006-10-26 | Varian Semiconductor Equipment Associates, Inc. | Tilted Plasma Doping |
US20060237138A1 (en) * | 2005-04-26 | 2006-10-26 | Micron Technology, Inc. | Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes |
JP4749785B2 (ja) * | 2005-07-19 | 2011-08-17 | 東京エレクトロン株式会社 | ガス処理装置 |
US8535443B2 (en) * | 2005-07-27 | 2013-09-17 | Applied Materials, Inc. | Gas line weldment design and process for CVD aluminum |
RU2008108010A (ru) * | 2005-08-02 | 2009-09-10 | Массачусетс Инститьют Оф Текнолоджи (Us) | Способ применения фторида серы для удаления поверхностных отложений |
JP4628900B2 (ja) * | 2005-08-24 | 2011-02-09 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
KR100766132B1 (ko) * | 2005-08-31 | 2007-10-12 | 코바렌트 마테리얼 가부시키가이샤 | 가스 분산판 및 그 제조방법 |
US20070056845A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Multiple zone sputtering target created through conductive and insulation bonding |
US7588668B2 (en) | 2005-09-13 | 2009-09-15 | Applied Materials, Inc. | Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers |
US20070056843A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones |
US8679252B2 (en) * | 2005-09-23 | 2014-03-25 | Lam Research Corporation | Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof |
US7862683B2 (en) * | 2005-12-02 | 2011-01-04 | Tokyo Electron Limited | Chamber dry cleaning |
US8173228B2 (en) * | 2006-01-27 | 2012-05-08 | Applied Materials, Inc. | Particle reduction on surfaces of chemical vapor deposition processing apparatus |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
JP2008047869A (ja) * | 2006-06-13 | 2008-02-28 | Hokuriku Seikei Kogyo Kk | シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
JP5463536B2 (ja) * | 2006-07-20 | 2014-04-09 | 北陸成型工業株式会社 | シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
JP5010234B2 (ja) | 2006-10-23 | 2012-08-29 | 北陸成型工業株式会社 | ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法 |
US7879401B2 (en) * | 2006-12-22 | 2011-02-01 | The Regents Of The University Of Michigan | Organic vapor jet deposition using an exhaust |
ES2331489T3 (es) * | 2007-03-05 | 2010-01-05 | Applied Materials, Inc. | Instalacion de revestimiento y sistema de conduccion de gas. |
US20080216958A1 (en) * | 2007-03-07 | 2008-09-11 | Novellus Systems, Inc. | Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same |
US20080279658A1 (en) * | 2007-05-11 | 2008-11-13 | Bachrach Robert Z | Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory |
US20080279672A1 (en) * | 2007-05-11 | 2008-11-13 | Bachrach Robert Z | Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory |
US20080292433A1 (en) * | 2007-05-11 | 2008-11-27 | Bachrach Robert Z | Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory |
US7496423B2 (en) * | 2007-05-11 | 2009-02-24 | Applied Materials, Inc. | Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots |
JP4963679B2 (ja) * | 2007-05-29 | 2012-06-27 | キヤノン株式会社 | 液体吐出ヘッド用基体及びその製造方法、並びに該基体を用いる液体吐出ヘッド |
KR100943426B1 (ko) * | 2007-06-22 | 2010-02-19 | 주식회사 유진테크 | 박막 증착 방법 및 박막 증착 장치 |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US7964040B2 (en) * | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US20090120584A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Counter-balanced substrate support |
US20090151636A1 (en) * | 2007-11-16 | 2009-06-18 | Applied Materials, Inc. | Rpsc and rf feedthrough |
US20090155488A1 (en) | 2007-12-18 | 2009-06-18 | Asm Japan K.K. | Shower plate electrode for plasma cvd reactor |
US8097082B2 (en) * | 2008-04-28 | 2012-01-17 | Applied Materials, Inc. | Nonplanar faceplate for a plasma processing chamber |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20100025370A1 (en) * | 2008-08-04 | 2010-02-04 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
EP2175469A1 (en) | 2008-10-09 | 2010-04-14 | Danmarks Tekniske Universitet (DTU) | Ion beam extraction by discrete ion focusing |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8603591B2 (en) | 2009-04-03 | 2013-12-10 | Varian Semiconductor Ewuipment Associates, Inc. | Enhanced etch and deposition profile control using plasma sheath engineering |
US7767977B1 (en) | 2009-04-03 | 2010-08-03 | Varian Semiconductor Equipment Associates, Inc. | Ion source |
US8101510B2 (en) * | 2009-04-03 | 2012-01-24 | Varian Semiconductor Equipment Associates, Inc. | Plasma processing apparatus |
US8188445B2 (en) * | 2009-04-03 | 2012-05-29 | Varian Semiconductor Equipment Associates, Inc. | Ion source |
US8623171B2 (en) * | 2009-04-03 | 2014-01-07 | Varian Semiconductor Equipment Associates, Inc. | Plasma processing apparatus |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8216640B2 (en) * | 2009-09-25 | 2012-07-10 | Hermes-Epitek Corporation | Method of making showerhead for semiconductor processing apparatus |
TWI369251B (en) * | 2010-02-01 | 2012-08-01 | Ind Tech Res Inst | Gas distribution module and gas distribution scanning apparatus using the same |
WO2012057963A2 (en) * | 2010-10-28 | 2012-05-03 | Applied Materials, Inc. | High purity aluminum coating hard anodization |
KR101300118B1 (ko) * | 2010-12-15 | 2013-08-26 | 엘아이지에이디피 주식회사 | 서셉터 및 이를 구비한 화학기상증착장치 |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
DE102011005557A1 (de) * | 2011-03-15 | 2012-09-20 | Robert Bosch Gmbh | Verfahren zum Betreiben einer Vakuum-Beschichtungsmaschine |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US20120255635A1 (en) * | 2011-04-11 | 2012-10-11 | Applied Materials, Inc. | Method and apparatus for refurbishing gas distribution plate surfaces |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8955547B2 (en) | 2011-10-19 | 2015-02-17 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US9109754B2 (en) | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20130115372A1 (en) * | 2011-11-08 | 2013-05-09 | Primestar Solar, Inc. | High emissivity distribution plate in vapor deposition apparatus and processes |
KR102206544B1 (ko) * | 2012-03-20 | 2021-01-25 | 에이에스엠엘 네델란즈 비.브이. | 라디칼을 운반하기 위한 배열체 및 방법 |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9364871B2 (en) * | 2012-08-23 | 2016-06-14 | Applied Materials, Inc. | Method and hardware for cleaning UV chambers |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9859145B2 (en) | 2013-07-17 | 2018-01-02 | Lam Research Corporation | Cooled pin lifter paddle for semiconductor substrate processing apparatus |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9353440B2 (en) | 2013-12-20 | 2016-05-31 | Applied Materials, Inc. | Dual-direction chemical delivery system for ALD/CVD chambers |
US9502218B2 (en) * | 2014-01-31 | 2016-11-22 | Applied Materials, Inc. | RPS assisted RF plasma source for semiconductor processing |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9431221B2 (en) * | 2014-07-08 | 2016-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process |
JP6714978B2 (ja) * | 2014-07-10 | 2020-07-01 | 東京エレクトロン株式会社 | プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法 |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
TWI677929B (zh) * | 2015-05-01 | 2019-11-21 | 美商應用材料股份有限公司 | 用於形成膜堆疊的雙通道噴頭 |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
JP6527482B2 (ja) * | 2016-03-14 | 2019-06-05 | 東芝デバイス&ストレージ株式会社 | 半導体製造装置 |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US9981293B2 (en) | 2016-04-21 | 2018-05-29 | Mapper Lithography Ip B.V. | Method and system for the removal and/or avoidance of contamination in charged particle beam systems |
US10428426B2 (en) * | 2016-04-22 | 2019-10-01 | Applied Materials, Inc. | Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
DE102017100725A1 (de) | 2016-09-09 | 2018-03-15 | Aixtron Se | CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
TWM563652U (zh) | 2016-10-13 | 2018-07-11 | 美商應用材料股份有限公司 | 用於電漿處理裝置的腔室部件及包含其之裝置 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
WO2020028062A1 (en) * | 2018-07-31 | 2020-02-06 | Applied Materials, Inc. | Methods and apparatus for ald processes |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
JP2020041206A (ja) * | 2018-09-13 | 2020-03-19 | キオクシア株式会社 | 基板処理装置および半導体装置の製造方法 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
TWI848974B (zh) | 2018-09-14 | 2024-07-21 | 美商應用材料股份有限公司 | 用於多流前驅物配分劑量的裝置 |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US20200131634A1 (en) * | 2018-10-26 | 2020-04-30 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP7159074B2 (ja) * | 2019-02-08 | 2022-10-24 | キオクシア株式会社 | ガス供給部材、プラズマ処理装置、及びコーティング膜の形成方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
SG11202108196QA (en) | 2019-03-08 | 2021-09-29 | Applied Materials Inc | Porous showerhead for a processing chamber |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11976357B2 (en) | 2019-09-09 | 2024-05-07 | Applied Materials, Inc. | Methods for forming a protective coating on processing chamber surfaces or components |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
US11555250B2 (en) | 2020-04-29 | 2023-01-17 | Applied Materials, Inc. | Organic contamination free surface machining |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220254660A1 (en) * | 2021-02-05 | 2022-08-11 | Linco Technology Co., Ltd. | Substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5687667A (en) * | 1979-12-20 | 1981-07-16 | Toshiba Corp | Reactive ion etching method |
JPH05144747A (ja) * | 1991-11-21 | 1993-06-11 | Sony Corp | Cvd装置及びかかる装置を用いた薄膜形成方法 |
JPH05234881A (ja) * | 1992-02-20 | 1993-09-10 | Fujitsu Ltd | アッシング装置 |
JPH0745584A (ja) * | 1993-07-27 | 1995-02-14 | Kokusai Electric Co Ltd | ドライエッチング装置及び方法 |
JPH0871408A (ja) * | 1994-03-15 | 1996-03-19 | Applied Materials Inc | 化学的攻撃ガス環境に露出されるプラズマ処理室の加熱金属表面用セラミック保護及びその加熱金属表面の保護方法 |
JPH09129563A (ja) * | 1995-11-02 | 1997-05-16 | Ulvac Japan Ltd | シャワープレート |
JPH1050661A (ja) * | 1996-05-29 | 1998-02-20 | Applied Materials Inc | エッチング装置のガス散布装置 |
JPH10149989A (ja) * | 1996-09-16 | 1998-06-02 | Applied Komatsu Technol Inc | 高出力遠隔励起源を用いた堆積チャンバクリーニング技術 |
JPH11283963A (ja) * | 1998-03-27 | 1999-10-15 | Hitachi Ltd | 半導体製造装置およびそれを用いた半導体装置の製造方法 |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6029295B2 (ja) * | 1979-08-16 | 1985-07-10 | 舜平 山崎 | 非単結晶被膜形成法 |
US4813326A (en) * | 1984-07-16 | 1989-03-21 | Yamaha Corporation | Method and apparatus for synthesizing music tones with high harmonic content |
JPS61231716A (ja) * | 1985-04-08 | 1986-10-16 | Hitachi Ltd | 成膜装置 |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5591267A (en) * | 1988-01-11 | 1997-01-07 | Ohmi; Tadahiro | Reduced pressure device |
JPH02114530A (ja) * | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
EP0489179B1 (en) * | 1990-06-27 | 2001-11-14 | Fujitsu Limited | Method of manufacturing semiconductor integrated circuit |
US5082517A (en) * | 1990-08-23 | 1992-01-21 | Texas Instruments Incorporated | Plasma density controller for semiconductor device processing equipment |
JPH04236766A (ja) * | 1991-01-16 | 1992-08-25 | Reiko Co Ltd | Al蒸着フイルム及び下地処理法 |
JPH05326452A (ja) * | 1991-06-10 | 1993-12-10 | Kawasaki Steel Corp | プラズマ処理装置及び方法 |
US5449410A (en) * | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
JP2909364B2 (ja) * | 1993-09-20 | 1999-06-23 | 東京エレクトロン株式会社 | 処理装置及びそのクリーニング方法 |
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5581874A (en) * | 1994-03-28 | 1996-12-10 | Tokyo Electron Limited | Method of forming a bonding portion |
US5551982A (en) | 1994-03-31 | 1996-09-03 | Applied Materials, Inc. | Semiconductor wafer process chamber with susceptor back coating |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5756222A (en) | 1994-08-15 | 1998-05-26 | Applied Materials, Inc. | Corrosion-resistant aluminum article for semiconductor processing equipment |
JPH08199400A (ja) * | 1995-01-23 | 1996-08-06 | Mitsubishi Heavy Ind Ltd | アルミニウム製部品の電解研磨方法 |
US5718795A (en) * | 1995-08-21 | 1998-02-17 | Applied Materials, Inc. | Radial magnetic field enhancement for plasma processing |
US5552017A (en) | 1995-11-27 | 1996-09-03 | Taiwan Semiconductor Manufacturing Company | Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow |
US5892886A (en) * | 1996-02-02 | 1999-04-06 | Micron Technology, Inc. | Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes |
SG70035A1 (en) | 1996-11-13 | 2000-01-25 | Applied Materials Inc | Systems and methods for high temperature processing of semiconductor wafers |
US6055927A (en) * | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US6143084A (en) * | 1998-03-19 | 2000-11-07 | Applied Materials, Inc. | Apparatus and method for generating plasma |
-
1998
- 1998-07-13 US US09/115,111 patent/US6182603B1/en not_active Expired - Lifetime
-
1999
- 1999-07-08 TW TW088111626A patent/TW585934B/zh not_active IP Right Cessation
- 1999-07-13 WO PCT/US1999/015867 patent/WO2000003064A1/en not_active Application Discontinuation
- 1999-07-13 JP JP2000559278A patent/JP4514336B2/ja not_active Expired - Fee Related
- 1999-07-13 KR KR1020067021432A patent/KR20060115926A/ko not_active Application Discontinuation
- 1999-07-13 KR KR1020017000519A patent/KR100729900B1/ko not_active IP Right Cessation
- 1999-07-13 EP EP99933993A patent/EP1102870A1/en not_active Withdrawn
-
2000
- 2000-12-19 US US09/740,596 patent/US6647993B2/en not_active Expired - Fee Related
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5687667A (en) * | 1979-12-20 | 1981-07-16 | Toshiba Corp | Reactive ion etching method |
JPH05144747A (ja) * | 1991-11-21 | 1993-06-11 | Sony Corp | Cvd装置及びかかる装置を用いた薄膜形成方法 |
JPH05234881A (ja) * | 1992-02-20 | 1993-09-10 | Fujitsu Ltd | アッシング装置 |
JPH0745584A (ja) * | 1993-07-27 | 1995-02-14 | Kokusai Electric Co Ltd | ドライエッチング装置及び方法 |
JPH0871408A (ja) * | 1994-03-15 | 1996-03-19 | Applied Materials Inc | 化学的攻撃ガス環境に露出されるプラズマ処理室の加熱金属表面用セラミック保護及びその加熱金属表面の保護方法 |
JPH09129563A (ja) * | 1995-11-02 | 1997-05-16 | Ulvac Japan Ltd | シャワープレート |
JPH1050661A (ja) * | 1996-05-29 | 1998-02-20 | Applied Materials Inc | エッチング装置のガス散布装置 |
JPH10149989A (ja) * | 1996-09-16 | 1998-06-02 | Applied Komatsu Technol Inc | 高出力遠隔励起源を用いた堆積チャンバクリーニング技術 |
JPH11283963A (ja) * | 1998-03-27 | 1999-10-15 | Hitachi Ltd | 半導体製造装置およびそれを用いた半導体装置の製造方法 |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005167019A (ja) * | 2003-12-03 | 2005-06-23 | Sharp Corp | トランジスタおよびそのゲート絶縁膜の成膜に用いるcvd装置 |
JP2007533139A (ja) * | 2004-04-08 | 2007-11-15 | アプライド マテリアルズ インコーポレイテッド | インサイチュ膜スタック処理のための方法及び装置 |
JP2005317958A (ja) * | 2004-04-12 | 2005-11-10 | Applied Materials Inc | 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 |
KR101265827B1 (ko) * | 2005-09-27 | 2013-05-20 | 램 리써치 코포레이션 | 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치및 방법들 |
KR101341711B1 (ko) * | 2005-09-27 | 2013-12-16 | 램 리써치 코포레이션 | 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들 |
US8574397B2 (en) | 2006-05-24 | 2013-11-05 | Lam Research Corporation | Bevel edge plasma chamber with top and bottom edge electrodes |
US9184043B2 (en) | 2006-05-24 | 2015-11-10 | Lam Research Corporation | Edge electrodes with dielectric covers |
JP2009021624A (ja) * | 2008-09-08 | 2009-01-29 | Tokyo Electron Ltd | 処理装置及び処理装置のクリーニング方法 |
JP2013511128A (ja) * | 2009-11-11 | 2013-03-28 | アクセリス テクノロジーズ, インコーポレイテッド | 残留物を清浄する方法および装置 |
Also Published As
Publication number | Publication date |
---|---|
US6182603B1 (en) | 2001-02-06 |
WO2000003064A9 (en) | 2000-03-23 |
TW585934B (en) | 2004-05-01 |
KR20010053514A (ko) | 2001-06-25 |
KR100729900B1 (ko) | 2007-06-18 |
US6647993B2 (en) | 2003-11-18 |
US20010006070A1 (en) | 2001-07-05 |
EP1102870A1 (en) | 2001-05-30 |
JP4514336B2 (ja) | 2010-07-28 |
WO2000003064A1 (en) | 2000-01-20 |
KR20060115926A (ko) | 2006-11-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4514336B2 (ja) | 基板処理装置及びその洗浄方法 | |
JP6737899B2 (ja) | プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス | |
KR100553481B1 (ko) | 챔버 세정을 강화시키는 방법 및 장치 | |
EP1324374B1 (en) | Etching System for an insulation-film | |
TWI254363B (en) | Chamber cleaning method | |
US5869401A (en) | Plasma-enhanced flash process | |
CN110246739B (zh) | 等离子体处理方法和等离子体处理装置 | |
IL176591A (en) | Method of imitation of a conductive material exposed to a passive feature | |
TWI650813B (zh) | 電漿處理方法 | |
JP2000323467A (ja) | 遠隔プラズマ放電室を有する半導体処理装置 | |
JP2012243958A (ja) | プラズマ処理方法 | |
JP3394263B2 (ja) | 真空処理方法及び装置 | |
JP3147868U (ja) | 基板処理装置 | |
JP4570186B2 (ja) | プラズマクリーニング方法 | |
JP2000003907A (ja) | クリーニング方法及びクリーニングガス生成装置 | |
JP2012227209A (ja) | 基板処理装置 | |
KR20230115456A (ko) | 기판 처리 장치 및 이를 이용한 반도체 장치 제조 방법 | |
JPH07111259A (ja) | プラズマ処理装置 | |
KR20070048539A (ko) | 플라즈마 세정 장치 및 이를 이용한 세정 방법 | |
JP2000188280A (ja) | プラズマプロセス装置及びプラズマプロセス |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050825 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050825 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080513 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080813 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080820 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080916 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080924 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080925 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081021 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090115 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100202 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100402 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100427 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20100511 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130521 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130521 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130521 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |