KR100729900B1 - 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판 처리 장치의 세정 방법 - Google Patents

표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판 처리 장치의 세정 방법 Download PDF

Info

Publication number
KR100729900B1
KR100729900B1 KR1020017000519A KR20017000519A KR100729900B1 KR 100729900 B1 KR100729900 B1 KR 100729900B1 KR 1020017000519 A KR1020017000519 A KR 1020017000519A KR 20017000519 A KR20017000519 A KR 20017000519A KR 100729900 B1 KR100729900 B1 KR 100729900B1
Authority
KR
South Korea
Prior art keywords
substrate processing
chamber
processing chamber
shower head
showerhead
Prior art date
Application number
KR1020017000519A
Other languages
English (en)
Other versions
KR20010053514A (ko
Inventor
캄 에스. 로
쿠아니유안 샹
섕 선
임마뉴엘 비어
Original Assignee
에이케이티 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이케이티 가부시키가이샤 filed Critical 에이케이티 가부시키가이샤
Publication of KR20010053514A publication Critical patent/KR20010053514A/ko
Application granted granted Critical
Publication of KR100729900B1 publication Critical patent/KR100729900B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Abstract

기판 처리 시스템(10)은 처리 챔버(14) 및 챔버의 외부에 위치된 플라스마원 (68)을 포함한다. 도관(77)은 플라스마원을 챔버의 내부 영역과 연결시켜, 챔버의 내부 표면의 세정을 위해 챔버 내부에 반응종을 제공한다. 플라스마원 및 챔버의 내부 영역 사이에 배치된 샤워 헤드(17)은 전극으로서 기능할 수 있고, 가스 분배기로서 기능할 수도 있다. 샤워 헤드는 비양극처리된 알루미늄 외층, 베어 알루미늄의 전기연마된 표면, 또는 플루오르계 보호층과 같은 표면 처리를 포함한다. 표면 처리된 샤워 헤드는 세정 동안 챔버의 내부 표면상에 증착된 재료의 제거율을 개선시키고, 처리 동안 기판의 오염을 감소시키며, 처리 동안 기판을 가열하는데 사용되는 전원을 보다 효율적인 사용하도록 한다.

Description

표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판 처리 장치의 세정 방법 {SUBSTRATE PROCESSING APPARATUS WITH A SURFACE-TREATED SHOWERHEAD AND METHOD OF CLEANING A PROCESSING CHAMBER}
관련출원의 참고문헌
본 발명은 1996년 9월 16일 출원된 "고전력 원격 여기원을 사용한 증착 챔버 세정 기술"이라는 명칭의 미국 특허 출원 제 08/707,491호와 관련이 있다. 본 발명의 양수인에게 양도된 상기 출원은 그 전문이 본원에서 참고문헌으로 삽입된다.
배경
본 발명은 일반적으로는 기판 처리 챔버에 관한 것이며, 상세하게는 이러한 챔버를 위한 가스 입구 매니폴드 또는 샤워 헤드(shower head)에 관한 것이다.
유리 기판은, 특히 능동 매트릭스형(active matrix) 텔레비젼 및 컴퓨터 디스플레이와 같은 용도로 사용되고 있다. 각 유리 기판은 각각이 백만 개가 넘는 박막 트랜지스터를 포함하는 다중 디스플레이 모니터를 형성할 수 있다.
유리 기판은, 예컨대 550㎜×650㎜의 치수를 가질 수 있다. 그러나, 기판상에 보다 많은 디스플레이가 형성되도록 하거나 보다 큰 디스플레이가 생성되도록, 예컨대 650㎜×830㎜ 이상과 같이 기판 크기가 점점 더 커지는 경향이 있다. 크기가 클수록 처리 시스템의 성능에 대하여 보다 많은 요구사항이 생긴다.
큰 유리 기판의 처리는, 예컨대 화학적 기상 증착(CVD)법, 물리적 기상 증착(PVD)법, 또는 에칭법을 포함하여, 수개의 연속 단계의 실행을 종종 포함한다. 유리 기판을 처리하는 시스템은 이러한 공정을 수행하기 위한 1개 이상의 공정 챔버를 포함할 수 있다.
플라스마-강화 화학적 기상 증착법(PECVD)은 기판상에 전자 재료층을 증착하기 위해 유리 기판의 처리에 널리 사용되는 또다른 공정이다. PECVD 공정에서는, 기판이 한 쌍의 평행 플레이트 전극이 장착된 진공 증착 챔버에 놓인다. 일반적으로, 기판은 하부 전극으로서 기능하기도 하는 서셉터(susceptor)상에 설치된다. 반응 가스의 흐름이 상부 전극으로서 기능하기도 하는 가스 입구 매니폴드 또는 샤워 헤드를 통하여 증착 챔버에 제공된다. 무선 주파수(Radio Frequency, RF) 전압이, 반응 가스에서 플라스마를 형성시키기에 충분한 RF 전력을 생성시키는 2개의 전극 사이에 인가된다. 플라스마는 반응 가스를 분해시키고 기판 본체의 표면상에 목적하는 재료층을 증착시킨다. 다른 반응 가스를 챔버내로 흐르게 함으로써 기타 전자 재료의 추가적인 층이 최초층 위에 증착될 수 있다. 각 반응 가스는 목적하는 재료층의 증착을 초래하는 플라스마 처리를 받는다.
이러한 시스템이 재료를 기판의 표면상에 우선적으로 증착하도록 설계될지라도, 어떤 재료는 챔버내의 기타 내부 표면상에 증착되기도 한다. 반복 사용 후에, 시스템은 챔버내에 축적된 재료의 증착층을 제거하기 위하여 세정되어야 한다. 챔버 및 챔버내에 노출된 부품을 세정하기 위하여, 때때로 인-시튜(in-situ) 세정 공정이 사용된다. 인-시튜 세정 공정에 따르면, 전구체 가스(precursor gas)가 챔버내에 공급된다. 그 후, 챔버내의 전구체 가스에 글로우 방전 플라스마(glow discharge plasma)를 국소적으로 가함으로써, 반응종이 생성된다. 반응종은 상기 표면상에 증착된 재료와 휘발성 화합물을 형성함으로써 챔버 표면을 세정시킨다.
상기 인-시튜 세정 기술은 여러가지 단점이 있다. 첫째, 반응종을 생성하기 위하여 챔버내에서 플라스마를 사용하는 것이 비효율적이다. 따라서, 허용가능한 세정율을 달성하기 위해서는 비교적 높은 전력을 사용할 필요가 있다. 그러나, 높은 전력 수준은 챔버내의 하드웨어에 손상을 주는 경향이 있어 그 유효 수명을 현저히 단축시킨다. 손상된 하드웨어의 교체에는 비용이 상당히 들 수 있기 때문에, 이것은 증착 시스템을 사용하여 처리되는 제품의 기판당 원가를 현저히 상승시킬 수 있다. 현재, 매우 경쟁적인 반도체 가공 산업에 있어서, 원가를 의식하는 구매자에게 기판당 원가는 매우 중요하며, 세정 공정 동안 손상된 부품을 주기적으로 교체하여야 함으로 인한 증가된 작업 경비는 매우 바람직하지 못하다.
종래의 인-시튜 건조 세정 공정에 있어서 또다른 문제점은 허용가능한 세정율을 달성하기 위하여 필요한 높은 전력 수준이 다른 시스템 부품을 손상시킬 수 있거나, 또는 물리적으로 챔버의 내부 표면을 닦아내지 않고서는 제거되지 않는 잔류물 또는 부산물을 생성하는 경향이 있다는 점이다. 예컨대, 챔버 또는 공정 키트 부품(예컨대, 히터, 샤워 헤드, 클램핑 링 등)이 알루미늄으로 제조되어 있는 증착 시스템에서는, 플루오르화 질소(NF3) 플라스마가 내부 표면을 세정하는데 종종 사용된다. 세정 공정 동안, 특정한 양의 플루오르화 알루미늄(AlxFy)이 형성된다. 형성되는 양은 높은 플라스마 에너지 수준에 기인한 이온 충격에 의해 현저히 증가된다. 따라서, 상당량의 AlxFy가 시스템중에 형성될 수 있으며, 이것은 표면을 물리적으로 닦아냄으로써 제거되어야 한다.
공정 챔버를 세정하는 다른 기술은 상기 미국 특허 출원 번호 제 08/707,491호에 기재되어 있다. 상기 출원에 기재된 기술은 전구체 가스를 증착 챔버의 외부에 있는 원격의 챔버로 전달하는 단계 및 반응종을 형성하도록 원격 챔버에서 전구체 가스를 활성화시키는 단계를 포함한다. 예컨대, NF3를 포함할 수 있는 전구체 가스의 활성화는 원격 활성화원을 사용하여 수행된다. 반응종은 원격 챔버로부터 증착 챔버로 흐르며, 증착 챔버의 내부를 세정하는데 사용된다. 원격 플라스마원(plasma source)의 사용은 세정 공정 동안 일어나는 손상을 감소시키거나 제거할 수 있다.
전술한 바와 같이, 상기 시스템중 일부에서는 샤워 헤드가 알루미늄으로 형성된다. 통상적으로, 인-시튜 챔버에서 알루미늄 샤워 헤드의 표면은 그 신뢰도를 유지하기 위하여 양극처리(anodizing)된다. 예컨대, 샤워 헤드는 황산에 침지시켜, 샤워 헤드의 표면 위에 산화 알루미늄(Al2O3)의 층을 형성시킴으로써 양극처리된다. 원격 플라스마원을 가진 시스템에서 양극처리된 알루미늄 샤워 헤드를 사용하는 단점은 양극처리된 알루미늄이 전구체 가스 NF3가 활성화 될 때 형성되는 플루오르 라디칼을 상당량 불활성화시키는 듯하다는 점이다. 그 결과, 챔버가 세정될 수 있는 비율이 감소된다.
개요
일반적으로, 한 측면에서, 기판 처리 시스템은 처리 챔버 및 챔버의 외부에 위치한 플라스마원을 포함한다. 도관이 플라스마원을 챔버의 내부 영역으로 연결시켜, 챔버의 내부 표면의 세정을 위해 챔버 내부에 반응종을 제공한다. 챔버의 내부 영역에 제공된 비양극처리된 알루미늄 또는 베어 알루미늄 외층을 가진 샤워 헤드는 플라스마원 및 챔버 내부 사이에 배치된다.
본 발명의 명세서에서, "베어 알루미늄(bare aluminum)"이라는 어구는 샤워 헤드의 기계가공 동안 또는 이에 후속하여 표면에 축적되었을 수 있는 다양한 오염원을 제거하기 위하여 그 표면이 기계적, 화학적으로 또는 기타 기술을 사용하여 처리된 알루미늄 재료를 지칭하는 것으로 정의된다. 비록 처리된 후에 얇은 천연의 산화물이 후속하여 알루미늄의 표면 위에 형성될 수도 있으나, 이러한 알루미늄도 본 발명의 목적상 "베어 알루미늄"으로서 지칭된다.
다른 구현예에 따르면, 샤워 헤드는 플루오르화 알루미늄 또는 테플론(TEFLON®, Poly Tetra Fluoro Ethylene(PTFE)) 코팅과 같은 플루오르계 보호 외층을 포함할 수 있다. 플루오르계 외층은, 예컨대 전기연마된 알루미늄 표면과 같은 알루미늄 재료상에 배치될 수 있다.
다양한 구현예에 있어서, 하기 특징중 하나 이상이 존재한다. 증착 챔버는 플라스마-강화 화학적 증착 챔버와 같이, CVD 챔버일 수 있고, 다수의 무선 주파수(RF) 전력 전극을 포함할 수 있다. 샤워 헤드는 전극의 하나로서 기능할 뿐만 아니라, 실질적으로 균일한 가스 흐름을 챔버의 영역에 제공하기 위한 가스 분배기로서 기능할 수 있다. 플라스마원은, 예컨대 플루오르화 질소와 같은 플루오르계 화합물을 포함하는 전구체 가스원을 포함할 수 있다.
다른 측면에서, 처리 챔버를 세정하는 방법은 챔버의 외부에 반응종을 형성시키는 단계 및 비양극처리되거나 베어 알루미늄 표면 또는 불활성 플루오르계 화합물을 포함하는 외부 표면을 가진 샤워 헤드를 통하여 챔버 내부의 영역에 반응종을 제공하는 단계를 포함한다.
다양한 구현예는 하기 특징중 하나 이상을 포함한다. 반응종을 형성시키는 단계는 전구체 가스를 활성화시키는 단계를 포함할 수 있다. 전구체 가스는, 예컨대 플루오르화 질소와 같은 플루오르계 화합물을 포함할 수 있다. 반응종은, 예컨대 플루오르 라디칼을 포함할 수 있다. 기타 전구체 가스 및 반응종이 사용될 수도 있다.
본 발명의 표면 처리된 샤워 헤드의 사용은 기판 처리 챔버의 내부 표면상에 증착되는 재료의 제거율을 증가시킬 수 있다. 환언하면, 챔버 세정 공정이 보다 효율적으로 이루어질 수 있으므로, 양극처리된 샤워 헤드를 가진 챔버의 성능과 비교하여 보다 신속하게 완료될 수 있다. 부가하여, 변형된 샤워 헤드는 세정 공정 동안에 플루오르 라디칼을 보다 적게 흡수하는 경향이 있기 때문에, 기판이 처리 과정 동안 덜 오염될 것이다. 또한, 변형된 샤워 헤드는 양극처리된 샤워 헤드에 비하여 보다 높은 반사도를 초래할 수 있다. 반사도가 높을수록 처리 동안 챔버내의 기판을 가열하는 열원을 보다 효율적으로 사용하게 된다.
다른 특징 및 장점은 하기 설명, 첨부하는 도면 및 청구범위로부터 보다 명백할 것이다.
도면의 간단한 설명
도 1은 본 발명에 따른 PECVD 시스템을 예시한다.
도 2a는 전형적인 샤워 헤드의 상면도를 예시한다.
도 2b는 도 2a의 샤워 헤드의 부분 단면도를 나타낸다.
도 3은 본 발명에 따른 샤워 헤드를 형성하는 한 방법의 흐름도이다.
도 4는 본 발명에 따른 샤워 헤드를 형성하는 다른 방법의 흐름도이다.
도 5a는 본 발명에 따른 베어 알루미늄 표면을 가진 샤워 헤드의 부분 단면도를 나타낸다.
도 5b는 본 발명에 따른 플루오르계 화합물의 외부 코팅을 가진 샤워 헤드의 부분 단면도를 나타낸다.
상세한 설명
도 1을 참조하면, 플라스마-강화 화학적 기상 증착(PECVD) 장치(10)은, 예컨대 무정형 규소, 질화규소, 산화규소 및 옥시-나이트라이드 필름을 유리 또는 기타 기판상에 증착시키기 위하여 사용될 수 있는 다수의 공정 챔버를 가진 시스템의 일부이다. PECVD 시스템(10)은, 예컨대 능동 매트릭스형 액정 디스플레이의 제조에 사용될 수 있다.
PECVD 장치(10)은 상부 벽(14)를 관통하는 1개의 구멍 및 상기 구멍내에 샤워 헤드(16)을 가진 증착 챔버(12)를 포함한다. 일반적으로, 샤워 헤드(16)은 가스가 챔버(12)의 내부 영역으로 통과하도록 한다. 예시된 구현예에서, 샤워 헤드(16)은 제 1 전극으로서 기능한다. 대안적인 구현예에서, 상부 벽(14)는 상부 벽의 내부 표면에 인접한 전극(16)과 연속적으로 이어질 수 있다. 샤워 헤드(16)은 실질적으로 균일한 가스 흐름을 챔버 내부로 제공하는 가스 분배기로서도 기능한다. 플레이트 형태의 서셉터(18)은 챔버(12)내에서 제 1 전극(16)과 평행하게 뻗어있다.
제 1 전극(16)(즉, 가스 입구 매니폴드)은 챔버(12)의 외부에 있는 RF 전원 (36)에 연결된다. 한 구현예에서, 가스 입구 매니폴드(16)은, 예컨대 알루미늄 또는 알루미늄 합금을 포함하는 실질적으로 직사각형의 샤워 헤드(15)(도 2a)를 포함하며, 상기 샤워 헤드에는 샤워 헤드의 상부 표면(15A)로부터 하부 표면(15B)로 연장된 다수의 원추형 호울(17)이 있다(도 2a 내지 2b). 호울(17)은 약 600㎜×700㎜의 치수를 가진 샤워 헤드가 수천개의 호울을 포함할 수 있도록 서로 등거리로 떨어져 있을 수 있다.
서셉터(18)은 알루미늄으로 제조될 수 있고 한 층의 산화 알루미늄으로 코팅될 수 있다. 서셉터(18)내에 서셉터를 가열하도록 제어되는 하나 이상의 가열 엘리먼트가 내장되어 있다. 서셉터(18)은 제 2 전극으로서 기능하도록 접지에 연결되어 있고 챔버(12)의 기부 벽(22)를 통하여 수직으로 연장된 샤프트(20)의 말단 상에 설치된다. 샤프트(20)은 서셉터(18)이 제 1 전극(16) 쪽으로 및 이로부터 떨어져서 수직 운동하도록 수직으로 움직일 수 있다.
리프트-오프 플레이트(24)는 서셉터(18) 및 서셉터에 실질적으로 평행인 챔버(12)의 기부 벽(22)의 사이에서 수평으로 뻗어있고 수직으로 움직일 수 있다. 리프트-오프 핀(26)은 리프트-오프 플레이트(24)로부터 위를 향하여 수직으로 돌출된다. 리프트-오프 핀(26)은 서셉터(18)내의 리프트 호울(28)을 통하여 연장될 수 있도록 배치되고, 길이가 서셉터의 두께보다 약간 더 길다. 도 1에는 단지 2개의 리프트-오프 핀(26)이 도시되어 있으나, 리프트-오프 플레이트(24) 주위에 이격된 추가의 리프트-오프 핀이 있을 수 있다.
가스 배출구(30)은 챔버(12)의 측벽(32)를 통하여 연장되며, 챔버에서 가스를 배출시키기 위한 펌프(미도시)와 연결된다.
가스 입구 도관 또는 파이프(42)는 가스 입구 매니폴드(16)내로 연장되고 가스 스위칭 네트워크(53)를 통하여 다양한 가스원으로 연결된다. 챔버(12) 외부에 위치된 가스 공급부(52)는 증착 동안 사용되는 가스를 함유한다. 사용되는 특정한 가스는 기판상에 증착될 재료에 좌우된다. 공정 가스는 입구 파이프(42)를 통하여 가스 매니폴드(16)으로, 이어서 챔버내로 흐른다. 전자적으로 작동되는 밸브 및 흐름 제어기(54)는 가스 공급부에서 챔버(12)로의 가스의 흐름을 제어한다.
제 2 가스 공급 시스템이 또한 입구 파이프(42)를 통하여 챔버로 연결된다. 제 2 가스 공급 시스템은 일련의 증착이 이루어진 후에 챔버의 내부를 세정하기 위해 사용되는 가스를 공급한다. 본원에서 사용된 어구 "세정"은 증착된 재료를 챔버 내부 표면으로부터 제거하는 것을 지칭한다. 몇몇 경우에, 제 1 및 제 2 가스 공급부가 조합될 수 있다.
제 2 가스 공급 시스템은 전구체 가스(64)의 공급원, 증착 챔버의 외부에 약간 떨어져 위치하는 원격 활성화 챔버(66), 원격 활성화 챔버 내에서 전구체 가스를 활성화시키기 위한 전원(68), 전자적으로 작동되는 밸브 및 흐름 제어기(70), 및 원격 챔버를 증착 챔버(12)와 연결시키는 도관 또는 파이프(77)을 포함한다. 이러한 배치는 챔버의 내부 표면이 원격 플라스마원을 사용하여 세정되도록 한다.
흐름 제한기(79)가 파이프(77)에 제공된다. 흐름 제한기(79)는 원격 챔버 (66) 및 증착 챔버(12) 사이의 경로중 어디에든 위치될 수 있다. 이러한 흐름 제한기는 원격 챔버(66) 및 증착 챔버(10) 사이에 압력 차이가 존재하도록 한다.
밸브 및 흐름 제어기(70)은 가스를 사용자 선정 유량으로 전구체 가스원(64)에서 원격 활성화 챔버(66)으로 전송한다. 전원(68)은 전구체 가스를 활성화시켜 반응종을 형성시키며, 상기 반응종은 도관(77)을 통하여 입구 파이프(42)를 거쳐 증착 챔버로 흐른다. 따라서, 상부 전극 또는 가스 입구 매니폴드(16)은 반응 가스를 증착 챔버의 내부 영역으로 전송시키는데 사용된다. 설명된 구현예에서, 원격 챔버는 사파이어관이고, 전원은 그 출력이 사파이어관으로 향해진 2.54 기가헤르츠(GHz)의 고전력 마이크로파 발생기이다.
선택적으로, 또 다른 밸브 및 유량 제어기를 통하여 원격 활성화 챔버로 연결되는 소수 캐리어 가스(minor carrier gas)원(72)이 있을 수 있다. 소수 캐리어 가스는 활성화된 종을 증착 챔버로 이동시키는 것을 보조하며, 함께 사용될 특정한 세정 공정과 양립이 가능한 임의의 비반응 가스일 수 있다. 예컨대, 소수 캐리어 가스는 아르곤, 질소, 헬륨, 수소, 또는 산소 등일 수 있다. 증착 챔버로 활성화된 종의 이동을 보조할 뿐만 아니라, 상기 캐리어 가스는 세정 공정을 보조하거나 증착 챔버에서 플라스마의 개시 및/또는 안정화에 도움이 될 수도 있다.
설명된 구현예에서, 전구체 가스는 규소(Si), 도핑된 규소, 질화규소(Si3N4) 또는 산화규소(SiO2)로 증착된 챔버를 세정하는데 적당한 NF3이다. 기타 구현예에서, 전구체 가스는 사염화탄소(CF4), 육플루오르화황(SF6), 퍼플루오로 에탄(C2F3)와 같은 기타 플루오르계 가스를 포함할 수 있다. 사용되는 특정 가스는 제거되는 증착 재료에 좌우된다.
세정 기술의 성능을 강화하기 위하여, 샤워 헤드(15)는 비양극처리된 알루미늄 표면을 가진 알루미늄으로 주로 형성된다. 도 3은 이러한 샤워 헤드를 형성시키기 위한 한 기술을 예시한다. 먼저, 단계(100)에 의해 표시된 바와 같이, 알루미늄 또는 알루미늄 합금의 블록을 호울(17)을 포함하는 목적하는 형태의 샤워 헤드(15)로 기계적으로 형성시킨다. 다음으로, 기계 가공 동안 또는 이에 후속하여 알루미늄의 표면상에 축적되었을 수 있는 표면 먼지, 처리 오일, 미량의 외부 금속, 또는 잔류물 막과 같은 오염물을 제거하기 위하여 하나 이상의 화학적, 기계적 또는 기타 공정을 수행한다. 예컨대, 이러한 오염물을 제거하기 위하여 표준 전기연마 공정을 수행한다(단계 102). 한 구현예에서, 기계 가공된 장치를 약 1분 동안 질산 배쓰에 놓는다. 그 다음에, 샤워 헤드를 물로 린싱할 수 있다(단계 104). 그 결과 샤워 헤드는 베어 알루미늄 표면(80)을 가진다(도 5a).
실험적 결과에 의하면, 원격 플라스마원 및 양극처리 층이 없는 타입 6061 알루미늄 합금으로 제조된 샤워 헤드를 사용한 하나 이상의 PECVD 배치에서, 챔버 벽으로부터 SiN의 제거 또는 에칭율은 양극처리된 샤워 헤드에 비하여 약 28%까지 증가한 것으로 나타났다. 또한, 전기연마된 샤워 헤드는 챔버 벽으로부터 SiN의 제거율을 양극처리된 샤워 헤드에 비하여 약 50%까지 증가시켰다.
대안적으로, 샤워 헤드(15)의 성능을 더욱 개선시키기 위하여, 플루오르화 알루미늄(AlF3) 또는 기타 플루오르계 화합물의 얇은 코팅(81)이 샤워 헤드 (15)의 외부 표면상에 제공될 수 있다(도 5b). 일반적으로, 플루오르계 화합물은 샤워 헤드(15)의 표면 위에 불활성 층을 형성할 수 있어야 한다. 예컨대, 테플론(TEFLON®, Poly Tetra Fluoro Ethylene(PTFE)) 보호층이 샤워 헤드(15)의 표면 위에 제공될 수 있다.
한 기술에 따르면(도 4 참조), 알루미늄 또는 알루미늄 합금의 블록을 목적하는 형태로 기계적으로 형성시키고(단계 110), 기계화 동안 축적되었을 수 있는 막층을 제거하기 위하여, 예컨대 기계 가공된 샤워 헤드를 인산 욕(bath)에 둠으로써 전기연마 공정을 수행한다(단계 112). 다음으로, 플루오르화 알루미늄 보호층을 샤워 헤드의 표면 위에 형성시킨다(단계 114). 한 구현예에서, 전기연마된 샤워 헤드를 플루오르화수소(HF)산 탱크내에 놓는다. 예컨대, 샤워 헤드를 약 1 내지 5분 동안 2 내지 5%의 HF산 용액내에 둘 수 있다. 샤워 헤드가 HF산 탱크내에 있는 동안 산을 순환시키거나 진탕시켜야 한다. 그 다음에, 샤워 헤드를 HF 산 탱크로부터 제거하여, 탈이온수로 린싱, 및 송풍 건조시킨다(단계 116). 또한 더욱 완전히 건조시키기 위하여 샤워 헤드를 약 1시간 동안 약 100℃에서 베이킹시킬 수도 있다.
대안적인 구현예에서는, 플루오르화 알루미늄 보호층을 플루오르 가스상 처리를 사용하여 형성시킬 수 있다. 예컨대, 샤워 헤드를 화학적으로 연마시킨 후에, 약 1시간 동안 챔버내에 놓을 수 있다. 플루오르 가스를 약 1 내지 10 토르의 압력 및 약 350℃의 온도에서 챔버의 내부에 제공한다.
전기연마된 알루미늄 표면(82)와 같은 베어 알루미늄 표면상에 플루오르계 보호층을 형성시키는 것이 바람직하지만(도 5b), 반드시 그렇게 할 필요는 없다. 예컨대, 플루오르계 층을 상기 다양한 오염물이 제거되지 않았거나 일부만이 제거된 알루미늄 표면상에 형성시킬 수 있다. 또한, 플루오르계 층을 양극처리된 알루미늄 표면상에 형성시킬 수도 있다.
많은 경우에, 샤워 헤드의 노출된 표면 전체를 상기 기술중 하나에 따라 처리하는 것이 바람직하다. 그러나, 본 발명의 많은 잇점은 챔버(10)의 내부에 접해있거나 이에 제공된 샤워 헤드의 표면 영역만을 처리함으로써 달성될 수 있다.
비양극처리된 또는 베어 알루미늄 샤워 헤드, 전기연마된 샤워 헤드, 또는 플루오르화물계 보호 코팅을 가진 샤워 헤드의 사용은 챔버(12)의 내부 표면에 증착된 재료의 제거율의 증가에 부가하여 기타 잇점을 제공한다. 예컨대, 비양극처리된 알루미늄 또는 전기연마된 샤워 헤드(15)의 반사도는 일반적으로 양극처리된 알루미늄 샤워 헤드에 비하여 보다 높다. 반사도가 높을수록 처리 동안 챔버내의 기판을 가열시키는 열원을 보다 효율적으로 사용하는 결과를 가져온다. 또한, 비양극처리된 샤워 헤드, 전기연마된 샤워 헤드 뿐만 아니라 플루오르화물계 보호층을 가진 샤워 헤드의 사용은 기판으로의 박막의 증착 동안 일어날 수 있는 오염의 양을 감소시킬 수 있다. 이와 같이 오염의 양이 감소됨에 따라, 세정 공정 동안 샤워헤드에 의해 흡착되는 플루오르 라디칼이 더 줄어들게 된다.
샤워 헤드의 개선된 성능을 지지하는 이론이 완전히 이해되고 있지는 않으나, 변형된 샤워 헤드가 양극처리된 샤워 헤드에 비하여 보다 덜 다공성인 것으로 생각된다. 종래에 사용된 양극처리된 샤워 헤드는 플루오르 라디칼을 불활성화시키는 촉매로서 작용할 수 있고 공정 가스가 샤워 헤드에 축적되도록 할 수 있어, 세정 가스의 효율성을 감소시킨다. 보호층의 첨가 뿐만 아니라 비양극처리되거나 전기연마된 샤워 헤드는 샤워 헤드내의 플루오르 라디칼의 축적량을 감소시키고 샤워 헤드가 플루오르 라디칼을 불활성화시키는 촉매로서 작용하는 것을 방지하는데 도움이 될 수 있다. 어쨌든, 샤워 헤드의 개선을 지지하는 이론은 본 발명에 중요하지 않다.
또한, 상기 설명된 구현예는 PECVD 시스템을 포함하지만, 본 발명은 PVD, CVD 및 에칭 시스템 뿐만 아니라 플루오르계 종을 가진 원격 플라스마원을 사용하는 기타 시스템을 포함한 다른 시스템과 함께 사용될 수 있다.
기타의 구현예는 첨부하는 청구범위의 범주내이다.

Claims (25)

  1. 삭제
  2. 내부 영역을 가진 기판 처리 챔버;
    상기 기판 처리 챔버 외부에 위치된 플라스마원(plasma source);
    상기 기판 처리 챔버 내부 표면의 세정을 위해, 기판 처리 챔버 내부에 반응종을 제공하도록 상기 플라스마원을 챔버의 내부 영역과 연결시키는 도관; 및
    상기 도관과 상기 기판 처리 챔버의 내부 영역 사이에 배치되는 샤워헤드; 를 포함하고
    상기 샤워헤드가 상기 기판 처리 챔버의 내부 영역에 제공되는 전기 연마된 베어 알루미늄(bare aluminum) 외부 표면을 갖는,
    기판 처리 장치.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 제2항에 있어서,
    상기 기판 처리 챔버가 다수의 무선 주파수 전력 전극을 포함하고,
    상기 샤워 헤드가 상기 전극들 중 하나로서 작용하는 것을 특징으로 하는,
    기판 처리 장치.
  10. 제2항에 있어서,
    상기 샤워 헤드가 가스 분배기로서 작용하는 것을 특징으로 하는,
    기판 처리 장치.
  11. 제2항에 있어서,
    상기 외부 플라스마원에 연결되는, 플루오르계 화합물을 함유하는 전구체 가스원을 더 포함하는 것을 특징으로 하는,
    기판 처리 장치.
  12. 제11항에 있어서,
    상기 전구체 가스가 플루오르화 질소인 것을 특징으로 하는,
    기판 처리 장치.
  13. 삭제
  14. 삭제
  15. 기판 처리 챔버의 내부 영역에 알루미늄 샤워헤드를 배치하는 단계;
    반응성 플루오르 종을 형성하기 위하여, 상기 기판 처리 챔버의 외부에서 플루오르계 전구체 가스를 활성화시키는 단계; 및
    상기 기판 처리 챔버의 내부 영역을 세정하기 위하여, 상기 반응성 플루오르 종을 상기 샤워 헤드를 통하여 상기 기판 처리 챔버의 내부 영역으로 제공하는 단계; 를 포함하고,
    상기 샤워헤드는 상기 내부 영역에 제공되는 외부 표면을 갖고,
    상기 외부 표면은 전기 연마된 베어 알루미늄을 형성하도록 처리되며,
    상기 전기 연마된 베어 알루미늄 외부 표면은 상기 내부 영역 내에서 상기 반응성 플루오르 종의 비활성화를 방지함으로써 상기 기판 처리 챔버의 세정율을 향상시키는,
    표면 처리된 샤워헤드를 사용하는 기판 처리 챔버 세정 방법.
  16. 삭제
  17. 삭제
  18. 제15항에 있어서,
    플루오르 전구체 가스가 플루오르화 질소인 것을 특징으로 하는,
    표면 처리된 샤워헤드를 사용하는 기판 처리 챔버 세정 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 상부 표면 및 하부 표면을 갖는, 실질적인 직사각형 형태의 알루미늄 본체; 및
    상기 상부 표면 및 하부 표면을 통해 형성된 다수의 구멍; 을 포함하고,
    상기 상부 표면은 가스 유입구와 유체가 흐를 수 있게 연결되어 있으며,
    상기 하부 표면은 전기 연마된 베어 알루미늄을 형성하도록 처리되고, 기판 처리 장치를 구성하는 기판 처리 챔버의 내부 영역과 유체가 흐를 수 있게 연결되는,
    기판 처리 장치용의 표면 처리된 샤워헤드.
  24. 제23항에 있어서,
    무선 주파수 전력원에 연결하기 위한 수단을 더 포함하는,
    기판 처리 장치용의 표면 처리된 샤워헤드.
  25. 제23항 또는 제24항에 있어서,
    상기 실질적인 직사각형 형태의 알루미늄 본체는 600mm × 700mm 이상의 치수를 갖는 것을 특징으로 하는,
    기판 처리 장치용의 표면 처리된 샤워헤드.
KR1020017000519A 1998-07-13 1999-07-13 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판 처리 장치의 세정 방법 KR100729900B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/115,111 US6182603B1 (en) 1998-07-13 1998-07-13 Surface-treated shower head for use in a substrate processing chamber
US09/115,111 1998-07-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020067021432A Division KR20060115926A (ko) 1998-07-13 1999-07-13 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판처리 장치의 세정 방법

Publications (2)

Publication Number Publication Date
KR20010053514A KR20010053514A (ko) 2001-06-25
KR100729900B1 true KR100729900B1 (ko) 2007-06-18

Family

ID=22359351

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020017000519A KR100729900B1 (ko) 1998-07-13 1999-07-13 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판 처리 장치의 세정 방법
KR1020067021432A KR20060115926A (ko) 1998-07-13 1999-07-13 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판처리 장치의 세정 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020067021432A KR20060115926A (ko) 1998-07-13 1999-07-13 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판처리 장치의 세정 방법

Country Status (6)

Country Link
US (2) US6182603B1 (ko)
EP (1) EP1102870A1 (ko)
JP (1) JP4514336B2 (ko)
KR (2) KR100729900B1 (ko)
TW (1) TW585934B (ko)
WO (1) WO2000003064A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101300118B1 (ko) * 2010-12-15 2013-08-26 엘아이지에이디피 주식회사 서셉터 및 이를 구비한 화학기상증착장치
KR101563727B1 (ko) 2007-12-18 2015-10-27 에이에스엠 저펜 가부시기가이샤 플라즈마 cvd 반응기의 샤워 플레이트 전극

Families Citing this family (523)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136703A (en) * 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
JP4378806B2 (ja) * 1999-09-28 2009-12-09 日本電気株式会社 Cvd装置およびその基板洗浄方法
US6635570B1 (en) * 1999-09-30 2003-10-21 Carl J. Galewski PECVD and CVD processes for WNx deposition
KR100806097B1 (ko) * 1999-09-30 2008-02-21 램 리써치 코포레이션 예비 처리된 가스 분배판
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP2002353184A (ja) * 2001-05-28 2002-12-06 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
AU2003224977A1 (en) * 2002-04-19 2003-11-03 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
AU2003261791A1 (en) * 2002-08-30 2004-03-19 Tokyo Electron Limited Substrate processor and method of cleaning the same
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4133209B2 (ja) * 2002-10-22 2008-08-13 株式会社神戸製鋼所 高圧処理装置
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP2005167019A (ja) * 2003-12-03 2005-06-23 Sharp Corp トランジスタおよびそのゲート絶縁膜の成膜に用いるcvd装置
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US8357242B2 (en) 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100596488B1 (ko) * 2004-06-16 2006-07-03 삼성전자주식회사 반도체 기판 가공 방법
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
EP1789605A2 (en) * 2004-07-12 2007-05-30 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20090011150A1 (en) * 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
US8535443B2 (en) * 2005-07-27 2013-09-17 Applied Materials, Inc. Gas line weldment design and process for CVD aluminum
RU2008108010A (ru) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ применения фторида серы для удаления поверхностных отложений
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100766132B1 (ko) * 2005-08-31 2007-10-12 코바렌트 마테리얼 가부시키가이샤 가스 분산판 및 그 제조방법
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US9184043B2 (en) 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
DE502007001071D1 (de) * 2007-03-05 2009-08-27 Re Beschichtungsanlage und Gasleitungssystem
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
JP4963679B2 (ja) * 2007-05-29 2012-06-27 キヤノン株式会社 液体吐出ヘッド用基体及びその製造方法、並びに該基体を用いる液体吐出ヘッド
KR100943426B1 (ko) * 2007-06-22 2010-02-19 주식회사 유진테크 박막 증착 방법 및 박막 증착 장치
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
WO2009065016A1 (en) * 2007-11-16 2009-05-22 Applied Materials, Inc. Rpsc and rf feedthrough
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
JP2009021624A (ja) * 2008-09-08 2009-01-29 Tokyo Electron Ltd 処理装置及び処理装置のクリーニング方法
EP2175469A1 (en) 2008-10-09 2010-04-14 Danmarks Tekniske Universitet (DTU) Ion beam extraction by discrete ion focusing
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8188445B2 (en) * 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US7767977B1 (en) 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
KR101992702B1 (ko) * 2010-10-28 2019-06-25 어플라이드 머티어리얼스, 인코포레이티드 고순도 알루미늄 코팅 경질 양극산화
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102011005557A1 (de) * 2011-03-15 2012-09-20 Robert Bosch Gmbh Verfahren zum Betreiben einer Vakuum-Beschichtungsmaschine
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
KR102206544B1 (ko) * 2012-03-20 2021-01-25 에이에스엠엘 네델란즈 비.브이. 라디칼을 운반하기 위한 배열체 및 방법
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6527482B2 (ja) * 2016-03-14 2019-06-05 東芝デバイス&ストレージ株式会社 半導体製造装置
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
TWI721216B (zh) 2016-10-13 2021-03-11 美商應用材料股份有限公司 用於電漿處理裝置中的腔室部件、包含其之裝置及製造其之方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP2020041206A (ja) * 2018-09-13 2020-03-19 キオクシア株式会社 基板処理装置および半導体装置の製造方法
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7159074B2 (ja) * 2019-02-08 2022-10-24 キオクシア株式会社 ガス供給部材、プラズマ処理装置、及びコーティング膜の形成方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2022523541A (ja) 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794487A (ja) * 1993-09-20 1995-04-07 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
KR19980024578A (ko) * 1996-09-16 1998-07-06 하워드 네프 고전력 원격 여기원을 사용하는 증착 챔버의 정화 방법 및 증착장치

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6029295B2 (ja) * 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
JPS5687667A (en) * 1979-12-20 1981-07-16 Toshiba Corp Reactive ion etching method
US4813326A (en) * 1984-07-16 1989-03-21 Yamaha Corporation Method and apparatus for synthesizing music tones with high harmonic content
JPS61231716A (ja) * 1985-04-08 1986-10-16 Hitachi Ltd 成膜装置
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5591267A (en) * 1988-01-11 1997-01-07 Ohmi; Tadahiro Reduced pressure device
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
WO1992000601A1 (en) * 1990-06-27 1992-01-09 Fujitsu Limited Method of manufacturing semiconductor integrated circuit and equipment for the manufacture
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
JPH04236766A (ja) * 1991-01-16 1992-08-25 Reiko Co Ltd Al蒸着フイルム及び下地処理法
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
JPH05144747A (ja) * 1991-11-21 1993-06-11 Sony Corp Cvd装置及びかかる装置を用いた薄膜形成方法
JPH05234881A (ja) * 1992-02-20 1993-09-10 Fujitsu Ltd アッシング装置
JPH0745584A (ja) * 1993-07-27 1995-02-14 Kokusai Electric Co Ltd ドライエッチング装置及び方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
US5551982A (en) 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5756222A (en) 1994-08-15 1998-05-26 Applied Materials, Inc. Corrosion-resistant aluminum article for semiconductor processing equipment
JPH08199400A (ja) * 1995-01-23 1996-08-06 Mitsubishi Heavy Ind Ltd アルミニウム製部品の電解研磨方法
US5718795A (en) * 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
JP3434947B2 (ja) * 1995-11-02 2003-08-11 株式会社アルバック シャワープレート
US5552017A (en) 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
SG70035A1 (en) 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
JPH11283963A (ja) * 1998-03-27 1999-10-15 Hitachi Ltd 半導体製造装置およびそれを用いた半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794487A (ja) * 1993-09-20 1995-04-07 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
KR19980024578A (ko) * 1996-09-16 1998-07-06 하워드 네프 고전력 원격 여기원을 사용하는 증착 챔버의 정화 방법 및 증착장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101563727B1 (ko) 2007-12-18 2015-10-27 에이에스엠 저펜 가부시기가이샤 플라즈마 cvd 반응기의 샤워 플레이트 전극
KR101300118B1 (ko) * 2010-12-15 2013-08-26 엘아이지에이디피 주식회사 서셉터 및 이를 구비한 화학기상증착장치

Also Published As

Publication number Publication date
US6182603B1 (en) 2001-02-06
EP1102870A1 (en) 2001-05-30
JP2002520835A (ja) 2002-07-09
KR20060115926A (ko) 2006-11-10
US20010006070A1 (en) 2001-07-05
US6647993B2 (en) 2003-11-18
KR20010053514A (ko) 2001-06-25
TW585934B (en) 2004-05-01
WO2000003064A9 (en) 2000-03-23
WO2000003064A1 (en) 2000-01-20
JP4514336B2 (ja) 2010-07-28

Similar Documents

Publication Publication Date Title
KR100729900B1 (ko) 표면 처리된 샤워헤드를 구비하는 기판 처리 장치 및 기판 처리 장치의 세정 방법
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
KR100553481B1 (ko) 챔버 세정을 강화시키는 방법 및 장치
KR100447284B1 (ko) 화학기상증착 챔버의 세정 방법
KR100348575B1 (ko) 성막장치의세정처리방법
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
US8394231B2 (en) Plasma process device and plasma process method
JP2006128485A (ja) 半導体処理装置
JP2010147483A (ja) 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
KR20090066222A (ko) 플라즈마 cvd 반응기의 샤워 플레이트 전극
US20060254613A1 (en) Method and process for reactive gas cleaning of tool parts
KR100239282B1 (ko) 반응관 챔버의 자기 세척 방법
KR100628607B1 (ko) 클리닝방법,성막장치및성막방법
JP2000323467A (ja) 遠隔プラズマ放電室を有する半導体処理装置
JP2007184611A (ja) プラズマ処理装置およびプラズマ処理方法
KR100443905B1 (ko) 화학 기상 증착장치
JP3147868U (ja) 基板処理装置
CN116169050A (zh) 基板处理装置及使用该装置的基板处理方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee