JPH10149989A - 高出力遠隔励起源を用いた堆積チャンバクリーニング技術 - Google Patents

高出力遠隔励起源を用いた堆積チャンバクリーニング技術

Info

Publication number
JPH10149989A
JPH10149989A JP9290256A JP29025697A JPH10149989A JP H10149989 A JPH10149989 A JP H10149989A JP 9290256 A JP9290256 A JP 9290256A JP 29025697 A JP29025697 A JP 29025697A JP H10149989 A JPH10149989 A JP H10149989A
Authority
JP
Japan
Prior art keywords
chamber
remote
precursor gas
deposition
reactive species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9290256A
Other languages
English (en)
Other versions
JP3693798B2 (ja
Inventor
Shan Kuanyuan
シャン クアンユアン
S Ro Kyam
エス. ロウ キャム
Meidan Dan
メイダン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AKT Inc
Original Assignee
Applied Komatsu Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24841912&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH10149989(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Komatsu Technology Inc filed Critical Applied Komatsu Technology Inc
Publication of JPH10149989A publication Critical patent/JPH10149989A/ja
Application granted granted Critical
Publication of JP3693798B2 publication Critical patent/JP3693798B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】電子デバイス製造に使用される堆積チャンバを
クリーニングするための方法。 【解決手段】 堆積チャンバ外の遠隔チャンバ内に前駆
体ガスを供給し、高出力源を用いて遠隔チャンバ内で前
駆体ガスを活性化して反応種を形成し、反応種を遠隔チ
ャンバから堆積チャンバ内に流入させ、遠隔チャンバか
ら堆積チャンバ内に流入させた反応種を用いて堆積チャ
ンバ内部をクリーニングすることからなる方法。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、堆積チャンバクリ
ーニングに関する。
【0002】
【従来の技術】プラズマ化学反応は半導体およびフラッ
トディスプレイの分野で広範に使用されている。その1
例であるプラズマ化学気相堆積(PECVD)は、アク
ティブマトリックス液晶ディスプレイ(AMLCD)用
の薄膜トランジスタ(TFT)の製造に使用されるプロ
セスである。PECVDでは、1対の平行板電極を備え
た真空堆積チャンバ内に基板が配される。これらの電極
の一方、例えば一般にサセプタと呼ばれている下部電極
は、基板を保持する。他方の電極すなわち上部電極はガ
ス吸気マニフォールドもしくはシャワーヘッドとして機
能する。堆積中に反応ガスが上部電極を通ってチャンバ
に流入し、高周波(RF)電圧が電極間に印加され、こ
れによって反応ガス中にプラズマが形成される。プラズ
マは反応ガスを分解させ、物質層を基板表面上に堆積さ
せる。
【0003】このようなシステムは、物質を基板表面上
に優先的に堆積させるように設計されたものではなく、
チャンバ内の他の内表面上にも物質をいくらか堆積させ
る。したがって、度重なる使用の後、これらのシステム
はチャンバ内に堆積した物質堆積層を除去するためにク
リーニングしなければならない。チャンバおよびチャン
バ内に露出した部品をクリーニングするために、インシ
チュウクリーニングプロセスが一般に用いられている。
このインシチュウの技術では、前駆体ガスがチャンバに
供給される。ついで、グロー放電プラズマをチャンバ内
の前駆体ガスに局所的に印加することにより、反応種が
生成される。この反応種は、チャンバ表面上のプロセス
堆積物と揮発性化合物を形成することによってこれらの
表面をクリーニングする。
【0004】
【発明が解決しようとする課題】このインシチュウクリ
ーニング技術にはいくつかの短所がある。第1に、この
反応種を生成させるためにチャンバ内でプラズマを用い
るのは効率的でない。すなわち、許容できるクリーニン
グ速度を得るためには比較的高い出力が必要である。し
かし、出力レベルが高ければチャンバ内部のハードウェ
アを損傷させ易く、この結果、その使用寿命が顕著に短
くなる。損傷したハードウェアの交換は極めて高価とな
ることがあり、これにより、堆積システムを用いて処理
される製品の基板あたりのコストが顕著に上昇しかねな
い。現在、競争の激しい半導体製造業界において、基板
あたりのコストはコストに敏感な購入者にとって重要で
あり、クリーニングプロセス中に破損した部品を定期的
に交換しなければならないことによる運転経費の上昇は
極めて好ましくない。
【0005】従来のインシチュウドライクリーニングプ
ロセスのもう1つの欠点は、許容可能なクリーニング速
度を達成するために必要な高い出力レベルが、他のシス
テム部品を損傷させたり、あるいはチャンバの内表面を
物理的にワイピングする(拭う)ことによってしか除去
できない残留物や副産物を発生させ易いことである。例
えば、チャンバやプロセスキット部品(例えば、ヒー
タ、シャワーヘッド、クランピングリング等)がアルミ
ニウム製である堆積システムにおいては、内表面をクリ
ーニングするためにNF3プラズマを用いることが多
い。このクリーニングプロセスにおいては、いくらかの
量のAlxyが形成される。その形成量は高プラズマエ
ネルギ値に由来するイオン衝撃によって大幅に増大す
る。したがって、かなりの量のAlxyがシステム中に
形成され得る。残念なことに、この物質は公知の化学プ
ロセスのいずれによってもエッチング除去できないた
め、物理的に表面をワイピングすることによって除去し
なければならない。
【0006】1つの解決法は遠隔チャンバ内でプラズマ
を励起することである。この方法ではプロセスチャンバ
の外の遠隔励起源を使用することによって反応種を発生
させる。この反応種はプロセスチャンバに供給され、特
定のプロセス、例えばチャンバのドライクリーニングの
実施を促進する。
【0007】この方法にはいくつかの短所がある。第1
に、このようなシステムに用いられる印加プラズマ出力
値(典型的には500〜1500ワット)は典型的には
NF3である供給ガスを完全に分解するものではない。
プラズマによって分解されない供給ガスがシステムから
排出されると環境に悪影響を与える可能性がある。購入
者は環境への影響が極少ないか有益であるような製品を
好むことが多い。
【0008】第2に、このようなシステムに使用される
印加圧力値は一般に低い。その影響でプラズマはほとん
ど局在化されない。局在化の欠如による好ましくない影
響として、遠隔励起チャンバ内およびその近傍のある種
の部品が熱プラズマによって燃焼することもある。例え
ば、これは、遠隔チャンバと堆積チャンバとを接続する
管のネック部近傍、特に管の遠隔チャンバ側部分で起こ
る可能性がある。
【0009】第3に、このようなシステムに用いられる
出力値(典型的には500〜1500ワット)を有する
マイクロ波エネルギを用いてプラズマを発生させるに
は、一般に複雑な自動同調手順が必要となる。さもなけ
れば、このようなプラズマは不安定となるためである。
すなわち、このようなプラズマは自動同調なしでは消滅
してしまう。
【0010】
【課題を解決するための手段】本発明は1つの特徴とし
て、電子デバイス製造に使用される堆積チャンバをクリ
ーニングするための方法に関する。本方法のステップに
は、堆積チャンバ外の遠隔チャンバ内に前駆体ガスを供
給するステップと、約3000ワット〜約12000ワ
ットの出力を用いて遠隔チャンバ内で前駆体ガスを活性
化して反応種を形成するステップとが含まれる。その他
のステップには、反応種を遠隔チャンバから堆積チャン
バ内に流入させるステップと、遠隔チャンバから堆積チ
ャンバ内に流入させた反応種を用いて堆積チャンバ内部
をクリーニングするステップとが含まれる。
【0011】本方法の実施態様には以下のものが含まれ
る。前駆体ガスを活性化させるステップは、遠隔活性源
を用いて実施される。前駆体ガスは、全てのハロゲン
と、これらの気体化合物とから成る気体群より選択され
るものであり、また、塩素、フッ素、およびこれらの気
体化合物から成る気体群より選択されてもよい。遠隔活
性源はマイクロ波エネルギ源である。本方法において
は、さらにキャリアガスを遠隔活性チャンバに流入さ
せ、このキャリアガスは窒素、アルゴン、ヘリウム、水
素、および酸素から成る気体群より選択されるものとし
てもよい。
【0012】本発明はもう1つの特徴として、プロセス
チャンバをクリーニングするための方法に関する。本発
明のステップには、プロセスチャンバ外の遠隔チャンバ
とプロセスチャンバとの間に差圧が生じるように遠隔チ
ャンバ内に前駆体ガスを供給するステップと、約300
0ワット〜約12000ワットの出力を用いて遠隔チャ
ンバ内で前駆体ガスを活性化して反応種を形成するステ
ップとが含まれる。その他のステップには、反応種を遠
隔チャンバからプロセスチャンバ内に流入させるステッ
プが含まれる。
【0013】本方法の実施態様には以下のものが含まれ
る。遠隔チャンバからプロセスチャンバ内に流入した反
応種を局所活性源を用いてさらに励起するステップと、
局所活性源でさらに励起された反応種を用いてプロセス
チャンバ内のクリーニングプロセスを実施するステップ
とが含まれてもよい。差圧は少なくとも約4.5Tor
rであり、遠隔チャンバ内の圧力は少なくとも約15T
orrである。
【0014】本発明はまた1つの特徴として、クリーニ
ング用前駆体ガス源に接続することのできる堆積装置に
関する。この装置は、堆積チャンバと、堆積チャンバの
外にある遠隔チャンバと、遠隔チャンバ内に高出力のエ
ネルギを供給することのできる高出力活性源と、前駆体
ガスを遠隔ガス供給源からこれが活性源によって活性化
されて反応種を形成する遠隔チャンバ内に流入させるた
めの第1の導管と、反応種を遠隔チャンバから堆積チャ
ンバ内に流入させるための第2の導管とを有している。
【0015】本方法の実施態様には以下のものが含まれ
る。装置は、遠隔チャンバ内への前駆ガスの流れを制御
するバルブおよびフロー制御機構、および遠隔チャンバ
内へ流入する前駆体ガスとは異なるキャリアガスの流れ
を制御するバルブおよびフロー制御機構を有していても
よい。
【0016】本発明はまた別の特徴として、電子デバイ
ス製造に用いられる堆積チャンバをクリーニングするた
めの方法に関する。本方法には、堆積チャンバ外の遠隔
チャンバ内に前駆体ガスを供給するステップと、遠隔チ
ャンバ容積1リットルあたり約12000ワット〜約4
8000ワットの出力を用いて遠隔チャンバ内で前駆体
ガスを活性化して反応種を形成するステップとが含まれ
る。その他のステップには、反応種を遠隔チャンバから
堆積チャンバ内に流入させ、遠隔チャンバから堆積チャ
ンバ内に流入させた反応種を用いて堆積チャンバ内部を
クリーニングするステップが含まれる。
【0017】本発明はまた別の特徴として、電子デバイ
ス製造に用いられる堆積チャンバをクリーニングするた
めの方法に関する。本方法には、堆積チャンバ外の遠隔
チャンバ内に前駆体ガスを供給するステップと、固定同
調高出力プラズマ源を用い約3000ワット〜約120
00ワットの出力を用いて遠隔チャンバ内で前駆体ガス
を活性化して反応種を形成するステップとが含まれる。
他のステップとしては、反応種を遠隔チャンバから堆積
チャンバ内に流入させ、遠隔チャンバから堆積チャンバ
内に流入させた反応種を用いて堆積チャンバ内部をクリ
ーニングするステップが含まれる。
【0018】
【発明の実施の形態】以下の実施態様においては、ここ
に記載のように改変したアプライドコマツテクノロジー
社製のモデルAKT−1600PECVDシステムを用
いた。AKT−1600PECVDはアクティブマトリ
ックス液晶ディスプレイ(AMLCD)製造用に設計さ
れたものである。これは、アモルファスシリコン、窒化
シリコン、酸化シリコンおよび酸窒化物フィルムを堆積
するために使用できる複数のプロセスチャンバを備えた
モジュラーシステムである。しかし、本発明は商業的に
入手可能なあらゆる堆積システムを用いて行うことがで
きる。
【0019】図1を参照すると、本発明に従って改変し
たPECVDシステムは、堆積チャンバ10と、その内
部に設けられたガス導入用のガス吸気マニホールド(も
しくはシャワーヘッド)12と、物質をその上に堆積さ
せる基板16を保持するためのサセプタ14とを有して
いる。吸気マニフォールド12およびサセプタ14は共
に平行板の形態を有しており、それぞれ上部電極、下部
電極としても機能する。下部電極とチャンバ本体は接地
されている。高周波発振器38は整合ネットワーク40
を介して高周波出力を上部電極に供給する。高周波発振
器38は、上部電極と下部電極の間にプラズマを発生さ
せるために使用される。
【0020】サセプタ14は堆積中に基板を加熱するた
めの抵抗ヒータ18を有している。外部ヒータ制御モジ
ュール20がヒータを駆動し、システムで実行するプロ
セスにより指示される適切な温度レベルにサセプタを到
達させかつ維持する。
【0021】チャンバ10の外部には堆積中に使用され
るガスを含むガス供給源32が設けられる。使用される
特定のガスは基板上に堆積すべき物質に依存する。プロ
セスガスは吸気口を通ってガスマニフォールド内に流入
し、さらにシャワーヘッドを通ってチャンバ内に流入す
る。電子操作されるバルブおよびフロー制御機構34は
ガス供給源からチャンバ内へのガスの流れを制御する。
また、減圧ポンプ36が排気口を介してチャンバに接続
されており、チャンバ内を減圧するために使用される。
【0022】本発明によれば、第2のガス供給系も吸気
口33を介してチャンバに接続される。この第2のガス
供給系は一連の堆積作業後にチャンバ内部をクリーニン
グするために使用されるガスを供給する。ここで「クリ
ーニング」とは、チャンバ内表面からの堆積物質の除去
を意味する。また、ガスの混合が所望される場合は第1
および第2のガスの供給を組み合わせることもできる。
【0023】第2のガス供給系は、前駆体ガス供給源4
4、堆積チャンバ外に間隔を置いて位置する遠隔活性チ
ャンバ46、遠隔活性チャンバ内の前駆体ガスを活性化
するための出力源48、電子操作されるバルブおよびフ
ロー制御機構50、および遠隔チャンバを堆積チャンバ
に接続する導管もしくはパイプ57を含む。
【0024】流量絞り59がフローパイプ57中に使用
されている。流量絞り59は遠隔チャンバ46と堆積チ
ャンバ10との間の流路のいずれの位置に配してもよ
い。このような流量絞りによって遠隔チャンバ46と堆
積チャンバ10との間に差圧を存在させることが可能に
なる。
【0025】バルブおよびフロー制御機構50は使用者
が選択した流量でガスを前駆体ガス供給源44から遠隔
活性チャンバ46内に供給する。出力源48は前駆体ガ
スを活性化して反応種を形成し、これは次いで導管57
を通って吸気口33を介して堆積チャンバ内に流入す
る。換言すれば、上部電極すなわちシャワーヘッド12
を用いて反応ガスを堆積チャンバ内に供給する。記載さ
れた実施例においては、遠隔チャンバはサファイア管で
あり、出力源は2.54GHzのマイクロ波エネルギで
あって、その出力はサファイア管に向けられる。
【0026】また、微量キャリアガス供給源52を設
け、これをもう1つのバルブおよびフロー制御機構53
を介して遠隔活性チャンバに接続してもよい。微量キャ
リアガスは活性種の堆積チャンバへの輸送を促進する。
これは、共に使用される特定のクリーニングプロセスに
適応する適当な非反応性ガスのうちのいずれかとするこ
とができる。例えば、微量キャリアガスは、アルゴン、
窒素、ヘリウム、水素、もしくは酸素等とすることがで
きる。活性種の堆積チャンバへの輸送を促進することに
加え、キャリアガスはクリーニングプロセスの促進もし
くは堆積チャンバ内のプラズマの発生および/もしくは
安定化を助長することもできる。
【0027】記載された実施例において、前駆体ガスは
NF3である。活性種の流量は約2リットル/分であ
り、プロセスチャンバ圧は約0.5Torrである。前
駆体ガスを活性化するために、マイクロ波供給源は約3
000〜12000ワットを遠隔活性チャンバに供給す
る。多くの用途では500ワットの値を使用することが
できる。
【0028】遠隔活性チャンバはできるだけ高い圧力に
保持される。換言すれば、遠隔チャンバと堆積チャンバ
との間の差圧をできるだけ大きくし、少なくとも、例え
ば4.5Torrとすることができる。遠隔チャンバの
圧力は、例えば約5Torr〜約20Torr、特に約
15Torrというように、高めにすることができる。
堆積チャンバの圧力は、例えば約0.1Torr〜約2
Torr、特に約0.5Torrとすることができる。
流量絞り59を用いることにより、堆積チャンバ10の
圧力に悪影響を与えることなく、高圧プラズマを維持す
ることが可能になる。流量絞り59は例えば1つの小さ
なオリフィスもしくは一連の小さなオリフィスとするこ
とができるが、例えば減圧弁もしくはニードル弁等、差
圧を形成する装置のいずれかを使用することもできる。
流量絞り59はパイプ57が堆積チャンバ10に入る位
置もしくはその近傍に配することができる。
【0029】この遠隔チャンバに対する出力および圧力
の選択はいくつかの利点を有している。第1に、選択さ
れた高出力によって遠隔チャンバ内でガスのほぼ完全な
活性化が行われ、この結果、環境に悪影響を与えるよう
な前駆体ガスのチャンバからの排出量が少なくなる。
【0030】第2に、本発明者等は活性化量百分率が予
想を遙かに超えるものであることを見出した。図2に
は、圧力15Torrにおける印加出力に対するガス分
解(もしくは活性化ガス)百分率を示す。尺度を変えれ
ば、この曲線は清浄速度とほぼ同様となる。低出力にお
いてはガス分解はほぼ直線的であることが認められる。
本発明者等は、高出力、例えば2000ワットを超える
領域では、ガス分解百分率は100%分解に漸近的に接
近する前に非直線的遷移域を通過することを見出した。
これは、MWRPS(マイクロ波遠隔出力源)と表示さ
れた、データ点を記入した曲線から認められる。この結
果、予想を遙かに超えるガス分解が得られる。遷移域の
正確な位置はガス圧やガス流のような他の要素にも依存
することに留意されたい。
【0031】上述の出力範囲は遠隔チャンバの寸法にも
部分的に依存すると考えることができる。約3000〜
12000ワットの出力範囲は1/4リットルの遠隔チ
ャンバ容積に基づくものであり、出力密度約12000
〜48000ワット/リットルに対応する。これらの値
は他の寸法のチャンバについては増大および減少する。
【0032】この出力および圧力範囲が有利であること
の第3の理由は、高圧プラズマがより局在化することに
ある。すなわち、高圧プラズマが遠隔チャンバから拡散
したり、特に遠隔チャンバと堆積チャンバとを接続する
配管を燃焼させたりする可能性が低くなる。
【0033】この出力および圧力範囲が有利であること
の第3の理由はプラズマ安定性にある。高出力マイクロ
波プラズマは低出力プラズマよりも安定であり同調させ
やすい。例えば、低出力プラズマはプラズマ発生開始中
に複雑な自動同調手順を必要とする。これを使用しなけ
れば低出力プラズマは消滅してしまう。プラズマを起こ
すために高出力を使用すれば、より簡単な固定同調手順
を用いて発生開始および最適な同調を行うことができ
る。
【0034】したがって、遠隔プラズマ活性チャンバ内
で高出力および高圧プラズマを組み合わせることによ
り、予期できないほど効率的な局在化された安定なプラ
ズマが得られる。
【0035】NF3を供給ガスとして用いることによ
り、本発明者等は、シリコン(Si)、ドープされたシ
リコン、窒化シリコン(Si34)および酸化シリコン
(SiO2)が堆積したチャンバをクリーニングするこ
とができた。堆積フィルムあたりのクリーニング速度は
窒化シリコン(遠隔チャンバ圧15Torr、遠隔チャ
ンバ出力5000ワットおよびサセプタ温度360℃に
おいて2000sccmのNF3を流した場合)につい
ては1ミクロン/分に達しており、例えば窒化シリコン
については1ミクロン/分であった。これらのクリーニ
ング速度は、例えば、13.56MHz高周波において
約3キロワットの出力値の局在化プラズマを用いるに過
ぎない従来のクリーニングプロセスよりも2倍速い。
【0036】一般に、反応ガスは、一般的に使用される
ハロゲンおよびハロゲン化合物を含む広範な選択肢から
選ぶことができる。例えば、反応ガスは塩素、フッ素も
しくはNF3、CF4、SF6、C26、CCl4、C2
6等、それらの化合物とすることができる。当然なが
ら、使用される特定のガスは、除去される堆積物質に依
存する。例えば、タングステン堆積システムにおいて
は、堆積したタングステンをエッチングおよび/もしく
は除去するために、フッ素ガスが典型的に使用される。
【0037】選択された出力値、流量、および圧力はシ
ステムに特有であり、したがって、プロセスが実行され
る特定のシステムのために最適化する必要があることに
留意されたい。特定のシステムについて性能の最適化を
達成するためにプロセス条件を適切に調整することは当
業者の能力の範囲内である。
【0038】上述の実施例はPECVDシステムに関す
るものであるが、本発明はより広範な用途を有してい
る。例えば、遠隔活性源(すなわち、主真空チャンバ外
のもの)の概念は局所活性源(すなわち、主真空チャン
バ内のもの)と組み合わせて使用することにより、PV
D、CVD、イオンドービング、フォトレジストストリ
ッピング、基板クリーニング、プラズマエッチングのい
ずれかのために設計されたシステムにおいて使用するこ
とができる。
【0039】
【発明の効果】以上詳細に説明したように、本発明によ
れば、遠隔チャンバ内でプラズマを励起する際の従来技
術における欠点が解決される。
【図面の簡単な説明】
【図1】本発明を実現する高出力PECVDシステムの
ブロック図である。
【図2】印加出力に対するクリーニングガス分解百分率
を示すグラフである。
【符号の説明】
10…堆積チャンバ、44…前駆体ガス供給源、46…
遠隔活性チャンバ、48…出力源、50…バルブおよび
フロー制御機構、52…キャリアガス供給源、53…バ
ルブおよびフロー制御機構、57…導管、、59…流量
絞り。
フロントページの続き (72)発明者 ダン メイダン アメリカ合衆国, カリフォルニア州, ロス アルトス ヒルズ, ムリエタ レ ーン 12000

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 堆積チャンバをクリーニングするための
    方法であって、 プロセスチャンバ外の遠隔チャンバとプロセスチャンバ
    との間に差圧が生じるように、堆積チャンバ外の遠隔チ
    ャンバ内に前駆体ガスを供給する、供給のステップと、 約3000ワット〜約12000ワットの出力を用いて
    遠隔チャンバ内で前駆体ガスを活性化して反応種を形成
    する、前駆体活性化のステップと、 反応種を遠隔チャンバから堆積チャンバ内に流入させ
    る、流入のステップとを備える方法。
  2. 【請求項2】 前記前駆体活性のステップが、遠隔活性
    源(リモートアクティベーションソース)を用いて行わ
    れる請求項1に記載の方法。
  3. 【請求項3】 該前駆体ガスが、全てのハロゲンと、こ
    れらの気体化合物から成る気体群より選択される請求項
    2に記載の方法。
  4. 【請求項4】 該前駆体ガスが、塩素と、フッ素と、こ
    れらの気体化合物とから成る気体群より選択される請求
    項3に記載の方法。
  5. 【請求項5】 該前駆体ガスがNF3である請求項4に
    記載の方法。
  6. 【請求項6】前記遠隔活性源がマイクロ波エネルギ源で
    ある請求項2に記載の方法。
  7. 【請求項7】 該キャリアガスを遠隔チャンバに流入さ
    せるステップを更に備える請求項2に記載の方法。
  8. 【請求項8】 該キャリアガスが、窒素と、アルゴン
    と、ヘリウムと、水素と、酸素とから成る気体群より選
    択される請求項7に記載の方法。
  9. 【請求項9】前記遠隔チャンバからプロセスチャンバ内
    に流入した該反応種を局所活性源を用いて更にに励起す
    るステップと、 前記局所活性源でさらに励起された該反応種を用いてプ
    ロセスチャンバ内のクリーニングプロセスを実施するス
    テップとを更に備える請求項1に記載の方法。
  10. 【請求項10】 該差圧が、約5Torr〜約20To
    rrである請求項1に記載の方法。
  11. 【請求項11】 前記遠隔チャンバ内の圧力が少なくと
    も約15Torrである請求項10に記載の方法。
  12. 【請求項12】 堆積装置組立体であって、 堆積チャンバと、 前記堆積チャンバの外にある遠隔チャンバと、 前記遠隔チャンバ内にエネルギを供給することのできる
    活性源(アクティベーションソース)と、 反応種を形成するための活性源による活性化が行われる
    前記遠隔チャンバの中に、遠隔ガス供給源から前駆体ガ
    スを流入させるための第1の導管と、 該反応種を前記遠隔チャンバから前記堆積チャンバ内に
    流入させるための第2の導管とを備える堆積装置組立
    体。
  13. 【請求項13】 前記第2の導管に流量絞りを更に備え
    る請求項12に記載の堆積装置組立体。
  14. 【請求項14】 前記活性源が、約12000ワット/
    リットル〜約48000ワット/リットルの出力のエネ
    ルギを供給するように設計されている請求項12に記載
    の堆積装置組立体。
  15. 【請求項15】 電子デバイス製造に用いられる堆積チ
    ャンバをクリーニングするための方法であって、 堆積チャンバ外の遠隔チャンバ内に前駆体ガスを供給す
    るステップと、 遠隔チャンバ容積1リットルあたり約12000ワット
    〜約48000ワットの出力を用いて遠隔チャンバ内で
    前駆体ガスを活性化して反応種を形成するステップと、 反応種を遠隔チャンバから堆積チャンバ内に流入させる
    ステップと、 遠隔チャンバから堆積チャンバ内に流入させた反応種を
    用いて堆積チャンバ内部をクリーニングするステップと
    を備える方法。
  16. 【請求項16】 該差圧が少なくとも約4.5Torr
    である請求項1又は15のいずれかに記載の方法。
  17. 【請求項17】 電子デバイス製造に用いられる堆積チ
    ャンバをクリーニングするための方法であって、 堆積チャンバ外の遠隔チャンバ内に前駆体ガスを供給す
    るステップと、 固定同調高出力プラズマ源を使用し、約3000ワット
    〜約12000ワットの出力を用いて遠隔チャンバ内で
    前駆体ガスを活性化して反応種を形成するステップと、 反応種を遠隔チャンバから堆積チャンバ内に流入させる
    ステップと、 遠隔チャンバから堆積チャンバ内に流入させた反応種を
    用いて堆積チャンバ内部をクリーニングするステップと
    を備える方法。
JP29025697A 1996-09-16 1997-09-16 高出力遠隔励起源を用いた堆積チャンバクリーニング技術 Expired - Lifetime JP3693798B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/707,491 US5788778A (en) 1996-09-16 1996-09-16 Deposition chamber cleaning technique using a high power remote excitation source
US08/707491 1996-09-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005132609A Division JP4578314B2 (ja) 1996-09-16 2005-04-28 高出力遠隔起源を用いた堆積チャンバクリーニング装置

Publications (2)

Publication Number Publication Date
JPH10149989A true JPH10149989A (ja) 1998-06-02
JP3693798B2 JP3693798B2 (ja) 2005-09-07

Family

ID=24841912

Family Applications (2)

Application Number Title Priority Date Filing Date
JP29025697A Expired - Lifetime JP3693798B2 (ja) 1996-09-16 1997-09-16 高出力遠隔励起源を用いた堆積チャンバクリーニング技術
JP2005132609A Expired - Lifetime JP4578314B2 (ja) 1996-09-16 2005-04-28 高出力遠隔起源を用いた堆積チャンバクリーニング装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2005132609A Expired - Lifetime JP4578314B2 (ja) 1996-09-16 2005-04-28 高出力遠隔起源を用いた堆積チャンバクリーニング装置

Country Status (4)

Country Link
US (1) US5788778A (ja)
JP (2) JP3693798B2 (ja)
KR (3) KR19980024578A (ja)
TW (1) TW352456B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002033289A (ja) * 2000-03-27 2002-01-31 Applied Materials Inc 半導体プロセスチャンバの洗浄方法
JP2002520835A (ja) * 1998-07-13 2002-07-09 エーケーティー株式会社 処理装置用ガス分配プレート
JP2002334870A (ja) * 2001-05-10 2002-11-22 Nec Kyushu Ltd 高密度プラズマcvd装置のリモートプラズマクリーニング方法
JP2006148095A (ja) * 2004-11-04 2006-06-08 Applied Materials Inc 六フッ化硫黄リモートプラズマ源洗浄
WO2007034624A1 (ja) * 2005-09-26 2007-03-29 Tokyo Electron Limited 基板処理方法および記録媒体
US7234476B2 (en) 2002-03-11 2007-06-26 Asm Japan K.K. Method of cleaning CVD equipment processing chamber
US7456109B2 (en) 2002-11-27 2008-11-25 Tokyo Electron Limited Method for cleaning substrate processing chamber
US7534469B2 (en) 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7718004B2 (en) 2004-10-29 2010-05-18 Asm Japan K.K. Gas-introducing system and plasma CVD apparatus
CN101837357A (zh) * 2010-05-04 2010-09-22 宁波大学 一种等离子体清洗装置
JP2011243635A (ja) * 2010-05-14 2011-12-01 Landmark Technology Co Ltd 堆積チャンバのリモートクリーニング方法

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6814837B1 (en) * 1998-10-20 2004-11-09 Advance Micro Devices, Inc. Controlled gas supply line apparatus and process for infilm and onfilm defect reduction
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US5970995A (en) * 1998-12-31 1999-10-26 Schy; William W. Kit for removing calcium deposits in a shower head without removing the shower head from a shower arm
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
KR100513395B1 (ko) * 1999-02-04 2005-09-09 삼성전자주식회사 화학 기상 증착용 웨이퍼 안착대의 표면 정화 장치
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
AU6954300A (en) 1999-07-12 2001-01-30 Asml Us, Inc. Method and system for in situ cleaning of semiconductor manufacturing equipment using combination chemistries
US6468490B1 (en) * 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20030098038A1 (en) * 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US20040074516A1 (en) * 2002-10-18 2004-04-22 Hogle Richard A. Sub-atmospheric supply of fluorine to semiconductor process chamber
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
JP3855982B2 (ja) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 クリーニング方法及びクリーニング装置
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7247561B2 (en) * 2003-12-11 2007-07-24 Micron Technology, Inc. Method of removing residual contaminants from an environment
CN1894763B (zh) 2003-12-12 2010-12-08 山米奎普公司 用于在离子植入中延长设备正常运行时间的方法及装置
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
GB0329460D0 (en) * 2003-12-19 2004-01-28 Oxford Instr Plasma Technology Apparatus and method for plasma processing
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7267842B2 (en) * 2004-03-15 2007-09-11 Air Products And Chemicals, Inc. Method for removing titanium dioxide deposits from a reactor
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20060016459A1 (en) * 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
US7115524B2 (en) 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100596488B1 (ko) * 2004-06-16 2006-07-03 삼성전자주식회사 반도체 기판 가공 방법
US7434712B2 (en) * 2004-07-09 2008-10-14 Blackhawk Industries Product Group Unlimited Llc Hooded holster
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
CN101278072A (zh) * 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
WO2007027350A2 (en) * 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
KR20080060241A (ko) * 2005-10-17 2008-07-01 오씨 외를리콘 발처스 악티엔게젤샤프트 원거리 플라즈마 소스를 이용한 대면적 pecvd 장치용클리닝 방법
JP5102217B2 (ja) 2005-10-31 2012-12-19 アプライド マテリアルズ インコーポレイテッド プロセス削減反応器
US20070107750A1 (en) * 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
CN102197158B (zh) * 2008-10-28 2014-01-29 三菱电机株式会社 等离子体cvd装置、半导体膜的制造方法、薄膜太阳能电池的制造方法以及等离子体cvd装置的清洗方法
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
WO2011062940A2 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Large area plasma processing chamber with at-electrode rf matching
US20110207256A1 (en) * 2010-02-24 2011-08-25 Applied Materials, Inc. In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20110237051A1 (en) * 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
JP2013524510A (ja) 2010-03-30 2013-06-17 アプライド マテリアルズ インコーポレイテッド p型拡散層の上に負荷電パッシベーション層を形成する方法
EP2426737A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
EP2439792A1 (en) 2010-10-05 2012-04-11 Applied Materials, Inc. Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
EP2523227A1 (en) 2011-05-13 2012-11-14 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack
JP6239583B2 (ja) 2012-03-20 2017-11-29 マッパー・リソグラフィー・アイピー・ビー.ブイ. ラジカルを輸送するための装置および方法
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
JP6749225B2 (ja) * 2016-12-06 2020-09-02 東京エレクトロン株式会社 クリーニング方法
DE102017208329A1 (de) * 2017-05-17 2018-11-22 Ejot Gmbh & Co. Kg Berührungsfreie Reinigungsvorrichtung
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US20210391156A1 (en) * 2020-06-10 2021-12-16 Applied Materials, Inc. Clean unit for chamber exhaust cleaning

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPH02279160A (ja) * 1989-03-08 1990-11-15 Abtox Inc プラズマ滅菌方法及び滅菌装置
DE4132559A1 (de) * 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5206471A (en) * 1991-12-26 1993-04-27 Applied Science And Technology, Inc. Microwave activated gas generator
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
WO1996013621A1 (en) * 1994-10-31 1996-05-09 Krogh Ole D An ecr plasma source
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002520835A (ja) * 1998-07-13 2002-07-09 エーケーティー株式会社 処理装置用ガス分配プレート
JP2002033289A (ja) * 2000-03-27 2002-01-31 Applied Materials Inc 半導体プロセスチャンバの洗浄方法
JP2002334870A (ja) * 2001-05-10 2002-11-22 Nec Kyushu Ltd 高密度プラズマcvd装置のリモートプラズマクリーニング方法
JP4733856B2 (ja) * 2001-05-10 2011-07-27 ルネサスエレクトロニクス株式会社 高密度プラズマcvd装置のリモートプラズマクリーニング方法
US7234476B2 (en) 2002-03-11 2007-06-26 Asm Japan K.K. Method of cleaning CVD equipment processing chamber
US7456109B2 (en) 2002-11-27 2008-11-25 Tokyo Electron Limited Method for cleaning substrate processing chamber
US7718004B2 (en) 2004-10-29 2010-05-18 Asm Japan K.K. Gas-introducing system and plasma CVD apparatus
JP2006148095A (ja) * 2004-11-04 2006-06-08 Applied Materials Inc 六フッ化硫黄リモートプラズマ源洗浄
US7534469B2 (en) 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
WO2007034624A1 (ja) * 2005-09-26 2007-03-29 Tokyo Electron Limited 基板処理方法および記録媒体
CN101837357A (zh) * 2010-05-04 2010-09-22 宁波大学 一种等离子体清洗装置
JP2011243635A (ja) * 2010-05-14 2011-12-01 Landmark Technology Co Ltd 堆積チャンバのリモートクリーニング方法

Also Published As

Publication number Publication date
KR20070087698A (ko) 2007-08-29
JP4578314B2 (ja) 2010-11-10
US5788778A (en) 1998-08-04
KR20060086894A (ko) 2006-08-01
TW352456B (en) 1999-02-11
KR100852796B1 (ko) 2008-08-18
KR19980024578A (ko) 1998-07-06
JP2005236332A (ja) 2005-09-02
JP3693798B2 (ja) 2005-09-07

Similar Documents

Publication Publication Date Title
JP3693798B2 (ja) 高出力遠隔励起源を用いた堆積チャンバクリーニング技術
JP4520140B2 (ja) 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
US6880561B2 (en) Fluorine process for cleaning semiconductor process chamber
JP4916119B2 (ja) リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置
US6863077B2 (en) Method and apparatus for enhanced chamber cleaning
US6736147B2 (en) Semiconductor-processing device provided with a remote plasma source for self-cleaning
US5454903A (en) Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US20030129106A1 (en) Semiconductor processing using an efficiently coupled gas source
US20010006070A1 (en) Surface-treated shower head for use in a substrate processing chamber
JPH06112171A (ja) 窒化物上の酸化物をエッチングするための選択性の改良
JP2001085418A (ja) 処理チャンバのための遠隔式プラズマクリーニング方法
JPH1072672A (ja) 非プラズマ式チャンバクリーニング法
TW202109705A (zh) 使用電漿及蒸氣之乾式清潔設備
KR20040088948A (ko) Rps 교체용 분리 밸브를 가지는 cvd 장치
JPH11111699A (ja) ガスクリーニング装置およびガスクリーニング方法
JP2001131752A (ja) プラズマクリーニング方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050524

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050622

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080701

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090701

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100701

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110701

Year of fee payment: 6

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110701

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120701

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130701

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term