KR20070087698A - 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법 및증착 장치 조립체 - Google Patents

고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법 및증착 장치 조립체 Download PDF

Info

Publication number
KR20070087698A
KR20070087698A KR1020050000753A KR20050000753A KR20070087698A KR 20070087698 A KR20070087698 A KR 20070087698A KR 1020050000753 A KR1020050000753 A KR 1020050000753A KR 20050000753 A KR20050000753 A KR 20050000753A KR 20070087698 A KR20070087698 A KR 20070087698A
Authority
KR
South Korea
Prior art keywords
chamber
remote
deposition
reactive species
precursor gas
Prior art date
Application number
KR1020050000753A
Other languages
English (en)
Other versions
KR100852796B1 (ko
Inventor
샹퀀원
로우캄에스.
메이단단
Original Assignee
에이케이티 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24841912&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20070087698(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 에이케이티 가부시키가이샤 filed Critical 에이케이티 가부시키가이샤
Publication of KR20070087698A publication Critical patent/KR20070087698A/ko
Application granted granted Critical
Publication of KR100852796B1 publication Critical patent/KR100852796B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

전자 장치 제조시에 사용되는 증착 챔버를 세정하기 위한 방법에 있어서, 증착 챔버의 외부에 있는 원격 챔버 내부로 전구체 가스를 전달하는 단계와, 반응종을 형성하기 위해 높은 전력원을 사용하여 원격 챔버 내의 전조 가스를 활성화시키는 단계와, 상기 반응종을 상기 원격 챔버로부터 증착 챔버 내로 유동시키는 단계와, 원격 챔버로부터 증착 챔버 내로 유동하는 반응종을 사용하여 상기 증착 챔버의 내부를 세정하는 단계를 포함하고 있다.
증착 챔버, 원격 챔버, 플라즈마, 세정, 반응종, 전구체 가스

Description

고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법 및 증착 장치 조립체{DEPOSITION CHAMBER CLEANING METHOD AND DEPOSITION APPARATUS USING A HIGH POWER REMOTE EXCITATION SOURCE}
도 1은 본 발명을 구체화한 고전력 PECVD 시스템의 블록 선도.
도 2는 잔존 세정 가스 양의 백분율 대 인가 전력의 그래프.
플라즈마 보조의 화학 반응은 반도체와 평판 디스플레이 산업에서 널리 사용되어 왔다. 이와 같은 분야에서 널리 사용되고 있는 하나의 방법으로는 플라즈마-강화 화학 증착(PECVD : Plasma-enhanced Chemical Vapor Deposition) 방법인데, 이 방법은 능동-매트릭스 액정 디스플레이(AMLCDs : Active-matrix Liquid Crystal Displays)용 박막 트랜지스터(TFT)의 제조에 사용되는 공정이다. PECVD에 따라, 기판은 한 쌍의 평행한 플레이트 전극이 설치된 진공 증착 챔버 내에 위치된다. 하부 전극과 같은 전극 중의 하나는 기판을 고정하는 서셉터(susceptor)로 불리어진다. 상부 전극과 같은 다른 전극은 가스 유입 분기관 또는 샤워 헤드로 작용한다. 증착시에, 반응 가스가 상부 전극을 통해 챔버 내부로 유동하고 무선 주파수 (RF) 전압이 전극들 사이에 인가되어 반응 가스내에 플라즈마를 발생시킨다. 이러한 플라즈마는 반응 가스를 분해시키고 기판 표면상에 재료 층으로 증착되게 한다.
이러한 시스템에 있어서 기판의 표면상에 재료를 우선적으로 증착하도록 설계되었다 하더라도, 상기 시스템은 챔버내의 다른 내측 표면 위에도 또한 일부의 재료를 증착한다. 따라서, 반복적으로 사용한 후에 이러한 시스템은 챔버 내에 조성되어 있는 증착된 재료층을 제거하기 위해 세정되어져야 한다. 챔버와 챔버내의 노출된 부품을 세정하기 위해서는, 통상적으로 현장형(in-situ) 건식 세정 공정이 사용된다. 현장형 세정 공정의 기술에 따라, 챔버 내에 전구체(precursor) 가스가 공급된다. 이어서, 챔버 내부의 전구체 가스에 글로우(glow) 방전 플라즈마를 국부적으로 가함으로써, 반응종(reactive species)이 발생된다. 상기 반응종은 상기 표면 상의 공정 증착물과 함께 휘발성 화합물을 형성함으로써 챔버 표면을 세정시킨다.
이러한 통상의 세정 기술은 몇가지의 단점을 갖는다. 첫째, 상기 반응종을 발생시키기 위해 챔버 내에 플라즈마를 사용하는 것이 비효율적이다. 따라서, 적합한 세정 속도를 얻기 위해 상당히 높은 전력을 사용하는 것이 필요하다. 그러나, 고전력 수치는 챔버 내의 본체에 손상을 가할 수 있으며, 그 결과 사용 수명을 단축시킬 수 있다. 이와 같이, 손상된 본체를 교체하는데에는 비교적 많은 비용이 들기 때문에, 증착 시스템을 사용하여 처리한 제품의 기판별 소요 비용을 증가시킬 수 있다. 현재, 기판별 소요 비용이 구매자에게 상당히 중요한 반도체 제조 산업에서, 세정 공정시에 손상된 부품을 주기적으로 교체하는 데에 따른 작동 비용의 증가는 매우 바람직하지 못하다.
종래의 현장형 건식 세정 공정이 지닌 또 다른 문제점은 만족스러운 세정 속도를 달성하기 위해서는 고전력 수치가 요구되며, 그러한 높은 전력은 다른 시스템 부품에 손상을 줄 수 있거나 또는 챔버 내부 표면을 물리적으로 문질러 닦음으로서만 제거될 수 있는 잔류물 또는 부산물을 생성한다는 것이다. 한 실시예로서, 챔버나 공정 키트 부품(가열기, 샤워 헤드, 고정 링등과 같은)이 알루미늄으로 제조된 증착 시스템에서, NF3 플라즈마가 내부 표면을 세정하는데 사용된다. 세정 공정 중에, 소정량의 AlxFy이 형성된다. 상기 형성되는 양은 높은 플라즈마 에너지 레벨에 의한 이온 충격에 의해 크게 증가된다. 따라서, 많은 양의 AlxFy이 시스템 내에서 형성된다. 유감스럽게도, 상기 재료 AlxFy는 공지된 임의의 화학 공정에 의해서는 에칭될 수 없고, 표면을 물리적으로 문질러 닦음으로써 제거될 수 있다.
하나의 해결책은 원격(remote) 챔버내에서 플라즈마를 여기시키는 것이다. 상기 방법에서, 공정 챔버의 외부에서 원격의 여기원(excitation source)을 사용하여 반응종을 생성시킨다. 상기 반응종은 예를들어, 챔버의 건식 세정과 같은 특정 공정의 수행을 도와주도록 공정 챔버에 공급된다.
이러한 방법은 몇가지 단점을 갖는다. 첫째, 상기 시스템에서 사용되는 인가된 플라즈마 전력 수치(500-1500 와트)로 일반적으로 NF3인 공급 가스를 완벽하게 분할(breakdown)할 수는 없다. 플라즈마에 의해 분할되지 않은 이러한 공급 가스가 시스템의 외부로 분출될 때 환경에 악영향을 끼칠 수 있다. 구매자는 때때로 환경에 대한 영향을 무시할 수 있거나 환경에 도움을 주는 제품을 선호한다.
둘째, 상기 시스템에 사용되는 인가된 압력 수치는 일반적으로 낮다. 그 결과는 플라즈마가 다소 덜 국부적이라는(less locallized) 것이다. 그러한 부족한 국부화(localization)로 인한 바람직하지 못한 결과는 원격의 여기 챔버 내부 또는 그 부근의 특정 부품이 고온 플라즈마에 의해 연소된다는 것이다. 예를 들어, 이는 증착 챔버에 원격 챔버를 연결하는 관의 목 부근, 특히 원격 챔버에 인접한 쪽의 관에서 발생한다.
셋째, 상기 시스템내에서 사용되는 (500-1500와트의) 전력 수치를 갖는 마이크로파 에너지에 의한 플라즈마의 개시(initiation)에는 상기 플라즈마의 안정을 위한 복잡한 자동 동조(tuning) 절차를 필요로 한다. 즉, 상기 플라즈마는 자동 동조없이는 소멸될 것이다.
본 발명의 한 실시 양태에서, 본 발명은 전자 소자를 제조하는 데에 사용되는 증착 챔버를 세정하기 위한 방법에 관한 것이다. 상기 방법은 증착 챔버의 외부에 있는 원격 챔버 내부로 전구체 가스를 전달하는 단계와, 반응종을 형성하기 위해 약 3000와트 내지 약 12000와트의 전력을 사용하여 원격 챔버내의 전구체 가스를 활성화하는 단계를 포함한다. 상기 방법은 또한 반응종을 원격 챔버로부터 증착 챔버 내부로 유동시키는 단계와, 증착 챔버의 내부를 세정하기 위해 원격 챔버로부터 증착 챔버 내부로 유동된 반응종을 사용하는 단계를 포함한다.
상기 방법의 시행은 다음의 단계를 포함한다. 전구체 가스의 활성화 단계는 원격의 활성화원(activation source)을 사용하여 시행된다. 전구체 가스는 모든 할로겐과 그 할로겐의 가스상 화합물로 구성되는 가스 군으로부터 선택될 수 있고, 염소, 불소, 및 이들의 가스상 화합물로 구성되는 가스 군으로부터 선택될 수 있다. 원격의 활성화원은 마이크로파 에너지원이다. 상기 방법은 원격의 활성화챔버 내부로 캐리어(carrier) 가스를 유동시키는 단계를 더 포함하며, 상기 캐리어 가스는 질소, 아르곤, 헬륨, 수소, 및 산소로구성되는 가스 군으로부터 선택된다.
또 다른 실시 양태에 있어서, 본 발명은 공정 챔버의 세정 방법에 관한 것이다. 상기 방법의 단계들은 원격 챔버와 공정챔버 사이에 압력차가 발생하도록 공정 챔버의 외부에 있는 원격 챔버 내로 전구체 가스를 전달하는 단계와, 반응종을 형성하기 위해 약 3000와트 내지 약 12000와트의 전력을 사용하여 원격 챔버 내의 전구체 가스를 활성화시키는 단계를 포함한다. 또 다른 단계들은 원격 챔버로부터 공정 챔버 내로 반응종을 유동시키는 단계를 포함한다.
본 발명의 시행은 다음 단계를 포함한다. 원격 챔버로부터 공정 챔버 내로 유동된 반응종을 추가로 여기시키기 위해 국부적인 활성화원을 사용하는 단계와, 공정 챔버내에서의 세정 처리를 위해 국부적인 활성화원에 의해 추가로 여기된 반응종을 사용하는 단계를 포함한다. 압력차는 적어도 약 4.5torr이며, 원격 챔버 내의 압력은 적어도 약 15torr이다.
본 발명의 또 다른 실시 양태에서, 본 발명은 세정용 전구체 가스원에 연결될 수 있는 증착 장치에 관한 것이다. 상기 장치는 증착 챔버, 증착 챔버의 외부에 있는 원격 챔버, 상기 원격 챔버 내부로 고 전력의 에너지를 전달하는 고전력의 활성화원, 전구체 가스가 반응종을 형성하도록 활성화원에 의해 활성화되는 원격 챔버 내부로 전구체 가스를 원격 가스 공급부로부터 유동시키기 위한 제 1 도관, 및 반응종을 원격 챔버로부터 증착 챔버 내로 유동시키기 위한 제 2 도관을 포함한다.
본 발명의 실시는 다음의 단계를 따른다. 상기 장치는 원격 챔버 내부로의 전구체 가스의 유동을 제어하는 밸브 및 흐름 제어 기구와, 전구체 가스와 상이한 캐리어 가스의 원격 챔버 내부로의 유동을 제어하는 밸브 및 흐름 제어 기구를 포함한다.
본 발명의 또 다른 실시 양태에서, 본 발명은 전자 소자의 제조시에 사용되는 증착 챔버를 세정하기 위한 방법에 관한 것이다. 상기 방법의 단계는 증착 챔버 외부의 원격 챔버 내부로 전구체 가스를 전달하는 단계와, 반응종을 형성하기 위해 원격 챔버 체적으로 리터당 약 12,000와트 내지 약 48,000와트의 전력을 사용하여 원격 챔버 내의 전구체 가스를 활성화 시키는 단계를 포함한다. 또 다른 단계는 반응종을 원격 챔버로부터 증착 챔버 내로 유동시키는 단계와, 증착 챔버의 내부를 세정하기 위해 원격 챔버로부터 증착 챔버 내로 유동하는 반응종을 사용하는 단계를 포함한다.
또 다른 실시 양태에 있어서, 본 발명은 전자 소자의 제조에 사용되는 증착 챔버를 세정하기 위한 방법에 관한 것이다. 상기 방법은 증착 챔버 외부의 원격 챔버 내부로 전구체 가스를 전달하는 단계와, 반응종을 형성하기 위해 고정형(fixed) 동조 고전력 플라즈마 공급원을 사용하여 약 3,000와트 내지 약 12,000와 트의 전력을 사용하여 원격 챔버 내의 전구체 가스를 활성화시키는 단계를 포함한다. 또 다른 단계는 반응종을 원격 챔버로부터 증착 챔버 내로 유동시키는 단계와, 증착 챔버의 내부를 세정하기 위해 원격 챔버로부터 증착 챔버 내부로 유동하는 반응종을 사용하는 단계를 포함한다.
본원 발명의 실시예에서는 어플라이드 고마츠 테크놀로지(Applied Komatsu Technology)에 의해 제조되어 개조된 모델 AKT-1600 PECVD 시스템을 사용하였다. AKT-1600 PECVD 시스템은 능동 매트릭스 액정 디스플레이(AMLCDs)의 제조에 사용되도록 설계된 것이다. 비정질 실리콘, 실리콘 질화물, 실리콘 산화물, 및 산질화물(oxynitride) 필름을 증착하기 위해 사용될 수 있는 다수의 공정 챔버를 갖는 모듈 방식(module system)이다. 그러나, 본 발명은 상용화된 기타 증착 시스템에 이용될 수도 있다.
도 1을 참조하면, 본 발명에 따라 변경된 PECVD 시스템은 증착 챔버(10)를 포함하고 있으며, 그 내부에는 증착 가스를 유입하기 위한 가스 유입 분기관(또는 샤우어 헤드)(12) 및 상부에 재료가 증착되는 기판(16)을 고정하기 위한 서셉터(14)가 있다. 평행한 판의 형태인 두가지의 가스 유입 분기관(12)와 서셉터(14)는 각각 상부 및 하부 전극으로 작동한다. 하부 전극 및 챔버 몸체가 접지에 연결된다. RF 발생기(38)는 매칭(matching) 네트워크(40)를 통해 상부 전극에 RF 전력을 공급한다. RF 발생기(38)는 상부 및 하부 전극 사이의 플라즈마를 발생시키는데 사용된다.
서셉터(14)는 증착시에 기판을 가열시키기 위한 저항 가열기(18)를 포함한다. 외측의 가열기 제어 모듈(20)은 서셉터를 적절한 온도로 가열 및 유지시키기 위해 가열기에 전력을 가한다.
챔버(10) 외부에, 증착시에 사용되는 가스를 함유하는 가스 공급원(32)이 있다. 사용되어지는 가스는 기판상에 증착되는 물질에 따라 달라진다. 상기 공정 가스는 입구 포오트를 통해 가스 분기관 내로 유동하고 이어서 샤우어 헤드를 통해 챔버 내부로 흐른다. 전자식으로 작동하는 밸브 및 흐름 제어 기구(34)는 가스 공급원으로부터 챔버 내부로의 가스 유동을 제어한다. 또한 챔버를 진공화하는데 사용되는 진공 펌프(36)는 출구 포오트를 통해 챔버에 연결된다.
본 발명에 따라, 제 2 가스 공급 시스템도 입구 포오트(33)를 통해 챔버에 또한 연결된다. 상기 제 2 가스 공급 시스템은 연속 증착공정 이후에, 챔버의 내부를 세정하기 위해 사용되는 가스를 공급한다. 세정이라 하면, 챔버의 내부 표면으로부터 증착된 재료를 제거하는 것을 의미한다. 가스의 혼합이 바람직하다면 제 1 및 제 2 가스 공급원을 조합할 수도 있다.
제 2 가스 공급 시스템은 전구체 가스 공급원(44), 증착 챔버의 외부 및 일정 거리에 위치된 원격의 활성 챔버(46), 원격의 활성화 챔버내의 전구체 가스를 활성화하기 위한 전력원(48), 전자식으로 작동되는 밸브 및 흐름 제어 기구(50) 그리고, 증착 챔버에 원격 챔버를 연결하는 도관 또는 파이프(57)를 포함한다.
흐름 제한기(59)는 파이프(57) 내에서 사용된다. 흐름 제한기(59)는 원격 챔버(46)와 증착 챔버(10) 사이의 통로 어디에도 위치될 수 있다. 이러한 흐름 제 한기는 원격 챔버(46)와 증착 챔버(10) 사이에 압력차가 존재하도록 한다.
밸브 및 흐름 제어 기구(50)는 사용자가 선택한 유량으로 전구체 가스원(44)으로부터 가스를 원격의 활성화 챔버(46) 내부로 전달한다. 전력원(48)은 유입 포오트(33)를 경유하여 증착 챔버 내부로 도관(57)을 통해 유동하는 반응종을 형성하기위해 전구체 가스를 활성화시킨다. 즉, 상부 전극 및 샤우어 헤드(12)는 증착 챔버 내부로 반응 가스를 전달하는데 사용된다. 전술한 실시예에서, 원격의 챔버는 사파이어 관이며, 전력원은 사파이어 관으로 출력되는 2.54㎓ 마이크로파 에너지를 공급한다.
선택적으로, 또 다른 밸브 및 흐름 제어 기구(53)를 통해 원격의 활성화 챔버에 연결된 2차 캐리어 가스원(52)이 있을 수 있다. 2차 캐리어 가스는 활성화된 반응종의 증착 챔버로의 전달을 도와준다. 이용되는 특정 세정 공정에 적합한 임의의 적절한 비반응 가스가 그러한 2차 캐리어 가스로 사용될 수 있다. 예를 들어, 2차 캐리어 가스는 아르곤, 질소, 헬륨, 수소, 또는 산소 등이 있다. 또한, 활성화된 반응종의 증착 챔버로의 전달에 도움을 주는 것 이외에도, 상기 캐리어 가스는 세정 공정에 도움을 주거나 증착 챔버내의 플라즈마를 개시하거나 안정화하는데 도움을 준다.
전술한 실시예에서, 상기 전구체 가스는 NF3이다. 활성화된 반응종의 유량은 분당 약 2리터이며, 공정 챔버의 압력은 약 0.5 Torr이다. 전구체 가스를 활성화시키기 위해서, 마이크로파 공급원은 원격 활성화 챔버에 약 3,000 내지 약 12,000와트를 전달한다. 5,000와트의 수치는 많은 응용예에 사용될 수 있다.
원격의 활성화 챔버는 가능한 높은 압력에서 유지된다. 즉, 원격 챔버와 증착 챔버 사이의 압력차는 가능한 커야 하며, 예를 들어 적어도 4.5Torr이다. 원격의 챔버내의 압력은 예를들어, 약 5 Torr 내지 약 20 Torr로 높으며, 특히 약 15 Torr이다. 증착 챔버 내의 압력은 예를 들어 약 0.1 Torr 내지 2 Torr이며, 특히 약 0.5 Torr이다. 흐름 제한기(59)는 증착 챔버(10)의 압력에 대해 부정적인 영향을 끼치지 않으면서 높은 압력의 플라즈마가 유지될 수 있도록 하기 위해 사용된다. 흐름 제한기(59)는 소형의 오리피스 또는 일련의 소형 오리피스일 수 있으며, 또한 감소(reduction) 밸브 또는 니이들 밸브와 같이 압력차를 발생시키는 기타 장치가 될 수도 있다. 흐름 제한기(59)는 증착 챔버(10)에 파이프(57)가 유입되는 지점 또는 그 부근에 위치한다.
원격 챔버에 대한 이러한 전력 및 압력의 선택은 몇가지의 잇점을 갖는다. 먼저, 선택된 높은 전력은 원격 챔버 내의 가스의 실질적으로 완전한 활성화를 초래하여, 환경에 악영향을 미치는 전구체 가스의 극소량만이 챔버 밖으로 배출되게 한다.
둘째, 본 발명자는 활성화 양(量)의 백분율이 예상보다 훨씬 더 큰 것을 발견했다. 도 2를 참조하면, 가스 분할(또는 활성화된 가스) 분율이 15Torr의 압력에서 인가된 전력에 대해 그래프로 도시되어 있다. 배율(scaling)을 달리하면, 상기 곡선은 세정 속도와 더욱 동일하게 보여질 것이다. 낮은 전력에서 볼 수 있는 바와 같이, 가스 분할은 거의 선형이다. 본 발명자는 2000와트 이상과 같이 높은 전력에서 가스 분할 백분율이 100% 분할에 근접하기 이전에 비선형 전이 영역을 통과하는 것을 알아냈다. 이는 MW RPS(마이크로파 원격 전력원)으로 표시된 까만 원형 점들의 곡선으로 도시되어 있다. 이는 예상보다 더 큰 가스 분할을 초래한다. 전이 영역의 정확한 위치는 가스압 및 가스 흐름과 같은 인자에 따라 달라진다는 것을 주지하여야 한다.
전술한 전력 범위는 원격 챔버의 크기에 따라 부분적으로 달라진다고 간주된다. 약 3000 내지 12000와트의 전력 범위는 1/4리터의 원격 챔버 체적에 근거하며 약 12000 내지 48000와트/리터의 전력 밀도에 상응한다. 상기 수치는 다른 크기의 챔버에 따라 비례적으로 축소되거나 확대된다. 상기 전력 및 압력 범위가 바람직한 세 번째 이유는 고압이 플라즈마가 더욱 더 국부화된다는 것이다. 따라서, 고압의 플라즈마는 원격의 챔버로부터 덜 확산될 것이며, 특히 증착 챔버에 원격 챔버를 연결하는 파이프가 덜 연소되게 할 것이다.
상기 전력 및 압력 범위가 바람직한 네번째 이유는 플라즈마 안정성 때문이다. 높은 전력의 마이크로파 플라즈마는 낮은 플라즈마 보다 더 안정적이고 동조하기가 용이하다. 예를 들어, 낮은 전력의 플라즈마는 플라즈마의 개시시에 복잡한 자동 동조과정을 필요로 한다. 만일 이러한 것이 사용되지 않는다면, 낮은 전력의 플라즈마는 소멸할 것이다. 만일 높은 전력이 플라즈마를 개시하는데 사용된다면, 보다 용이한 고정형 동조과정이 개시와 최적 동조 모두에 사용될 수 있을 것이다.
따라서, 원격의 플라즈마 활성화 챔버 내에서 고 전력 및 고압의 플라즈마의 조합은 예상외로 효과적이고 국부적이며 안정한 플라즈마를 초래하게 한다.
공급 가스로서 NF3를 사용함으로써, 실리콘(Si), 도핑된 실리콘, 실리콘 질화물(Si3N4), 및 실리콘 산화물(SiO2)로 증착된 챔버를 세정시킬 수 있다. 증착된 필름의 세정 속도는 (15 Torr의 원격 챔버 압력과 5000와트의 원격 챔버전력과 360℃의 서셉터 온도에서 2000sccm의 NF3 유동에서) 실리콘 질화물에 대해서는 1 미크론/분으로, 예를들어 실리콘 질화물에 대해서는 1 미크론/분으로 나타났다. 상기 세정 속도는 13.56㎒ RF 의 약 3킬로와트의 전력 수치에 의해 국부적인 플라즈마를 발생시킨 종래의 세정 속도보다 예를 들어 2배 이상 빠르다.
일반적으로, 반응 가스(전구체 가스)는 통상적으로 사용하는 할로겐 및 할로겐 화합물을 포함하여 광범위한 선택 범위를 가진다. 예를 들어, 반응 가스는 NF3, CF4, SF6, C2F6, CCl4, C2Cl6 와 같은 염소, 불소 또는 그 화합물이다. 물론, 사용되는 특정 가스는 제거되는 증착 물질에 따라 달라진다. 예를 들어, 텅스텐 증착 시스템에서, 증착된 텅스텐을 에칭하거나 제거하여 세정하는데에는 일반적으로 불소 화합물 가스가 사용된다.
선택된 전력 수치, 유량, 및 압력은 시스템에 따라 달라지며, 공정이 진행되는 특정 시스템에 맞게 최적화되어야 할 필요가 있다. 특정 시스템의 최적의 성능을 이루기 위해 공정 조건을 적절히 조정하는 것은 소위 당업자가 용이하게 실시할 수 있을 것이다.
본 발명의 실시예를 PECVD 시스템과 관련하여 설명하였지만, 본 발명은 이에 국한되지 않은 보다 광범위한 적용을 갖는다. 예를 들어, (주 진공 챔버 내부인) 국부적인 활성화원에 연결되어 사용되는 원격 활성화원(즉, 주 진공 챔버 외부)의 개념은 PVD, CVD, 이온 도핑, 포토레지스트 스트리핑, 기판 세정, 플라즈마 에칭과 같은 목적중 하나의 목적을 달성하기 위한 시스템 내에서 사용될 수 있다.

Claims (21)

  1. 전자 소자 제조시에 사용되는 증착 챔버를 세정하기 위한 방법으로서,
    증착 챔버의 외부에 있는 원격 챔버 내로 전구체 가스를 전달하는 단계와,
    반응종을 형성하기 위해 3,000 내지 12,000와트의 전력을 사용하여 원격 챔버 내의 전구체 가스를 활성화시키는 단계와,
    상기 반응종을 상기 원격 챔버로부터 상기 증착 챔버 내로 유동시키는 단계 및,
    상기 원격 챔버로부터 증착 챔버 내로 유동하는 반응종을 사용하여 상기 증착 챔버의 내부를 세정하는 단계를 포함하고 있는 챔버의 세정 방법.
  2. 제 1 항에 있어서, 상기 전구체 가스를 활성화시키는 단계는 원격 활성화원을 사용함으로써 수행되어지는 챔버의 세정 방법.
  3. 제 2 항에 있어서, 상기 전구체 가스는 모든 할로겐과 그 할로겐의 가스상 화합물로 구성된 가스 군으로부터 선택되는 챔버의 세정 방법.
  4. 제 3 항에 있어서, 상기 전구체 가스는 염소, 불소, 및 이들의 가스상 화합물로 구성된 가스 군으로부터 선택되는 챔버의 세정 방법.
  5. 제 4 항에 있어서, 상기 전구체 가스는 NF3인 챔버의 세정 방법.
  6. 제 2 항에 있어서, 상기 원격 활성화원은 마이크로파 에너지 공급원인 챔버의 세정 방법.
  7. 제 2 항에 있어서, 상기 원격 활성화 챔버 내부로 캐리어 가스를 유동시키는 단계를 더 포함하는 챔버의 세정 방법.
  8. 제 7 항에 있어서, 상기 캐리어 가스는 질소, 아르곤, 헬륨, 수소, 및 산소로 구성되는 가스 군으로부터 선택되는 챔버의 세정 방법.
  9. 공정 챔버를 세정하기 위한 방법으로서,
    원격 챔버와 공정 챔버 사이에 압력 차가 생기도록 상기 공정 챔버의 외부에 있는 상기 원격 챔버 내부로 전구체 가스를 전달하는 단계와,
    반응종을 형성하기 위해 3,000 내지 12,000와트의 전력을 사용하여 상기 원격 챔버 내의 상기 전구체 가스를 활성화시키는 단계, 및
    상기 반응종을 상기 원격 챔버로부터 상기 공정 챔버 내부로 유동시키는 단계를 포함하고 있는 챔버의 세정 방법.
  10. 제 1 항 또는 제 9 항에 있어서,
    상기 원격 챔버로부터 상기 공정 챔버 내부로 유동된 상기 반응종을 추가로 여기시키기 위한 국부적인 활성화원을 사용하는 단계, 및
    상기 공정 챔버내에서의 상기 세정 공정의 수행중에 상기 국부적인 활성화 원에 의해 추가로 여기된 상기 반응종을 사용하는 단계를 더 포함하고 있는 챔버의 세정 방법.
  11. 제 9 항에 있어서, 상기 압력 차는 4.5 Torr 이상인 챔버의 세정 방법.
  12. 제 9 항에 있어서, 상기 원격 챔버내의 압력은 5 내지 20 Torr인 챔버의 세정 방법.
  13. 제 12 항에 있어서, 상기 원격 챔버 내의 압력은 15Torr 내지 20Torr인 챔버의 세정 방법.
  14. 증착 장치 조립체로서,
    증착 챔버와,
    상기 증착 챔버의 외부에 있는 원격 챔버와,
    상기 원격 챔버 내로 에너지를 전달하는 활성화원과,
    전구체 가스를, 원격 가스 공급원으로부터, 상기 활성화원에 의해 상기 전구 체 가스를 활성화하여 반응종을 형성하는 상기 원격 챔버 내부로 유동시키는 제 1 도관,
    상기 반응종을 상기 원격 챔버로부터 상기 증착 챔버 내로 유동시키는 제 2 도관, 및
    상기 제 2 도관내에 위치되어, 상기 원격 챔버와 상기 증착 챔버 사이에 압력차를 생성하는 흐름 제한기를 포함하고 있는 증착 장치 조립체.
  15. 제 14 항에 있어서, 상기 원격 챔버 내부로의 전구체 가스의 흐름을 제어하는 밸브 및 흐름 제어 기구를 더 포함하고 있는 증착 장치 조립체.
  16. 제 14 항에 있어서, 상기 흐름 제한기는 감속 밸브인 증착 장치 조립체.
  17. 제 14 항에 있어서, 상기 활성화원은 리터당 12,000와트와 리터당 48,000와트 사이의 전력으로 에너지를 전달하는 증착 장치 조립체.
  18. 제 14 항에 있어서, 상기 전구체 가스와 상이한 캐리어 가스가 상기 원격 챔버 내로 유동하는 것을 제어하는 밸브 및 흐름 제어 기구를 더 포함하고 있는 증착 장치 조립체.
  19. 전자 소자 제조에 사용되는 증착 챔버를 세정하기 위한 방법으로서,
    증착 챔버의 외부에 있는 원격 챔버 내로 전구체 가스를 전달하는 단계와,
    반응종을 형성하기 위해 상기 원격 챔버 체적의 리터당 12,000 내지 리터당 48,000와트의 전력을 사용하여 상기 원격 챔버 내의 상기 전구체 가스를 활성화시키는 단계와,
    압력차에 의해서 상기 원격 챔버로부터 상기 증착 챔버 내로 상기 반응종을 유동시키는 단계 및,
    상기 원격 챔버로부터 증착 챔버 내로 유동하는 반응종을 사용하여 상기 증착 챔버의 내부를 세정하는 단계를 포함하고 있는 챔버의 세정 방법.
  20. 제 19 항에 있어서, 상기 압력 차는 4.5 Torr 이상인 챔버의 세정 방법.
  21. 전자 소자 제조에 사용되는 증착 챔버를 세정하기 위한 방법으로서,
    증착 챔버의 외부에 있는 원격 챔버 내로 전구체 가스를 전달하는 단계와,
    반응종을 형성하기 위해 고정형 동조 고전력 플라즈마 공급원을 사용하는 3,000 내지 12,000와트의 전력을 사용하여 상기 원격 챔버 내의 상기 전구체 가스를 활성화시키는 단계와,
    상기 원격 챔버로부터 상기 증착 챔버 내로 상기 반응종을 유동시키는 단계 및,
    상기 원격 챔버로부터 증착 챔버 내로 유동하는 상기 반응종을 사용하여 상기 증착 챔버의 내부를 세정하는 단계를 포함하고 있는 챔버의 세정 방법.
KR1020050000753A 1996-09-16 2005-01-05 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법 및증착 장치 조립체 KR100852796B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/707,491 US5788778A (en) 1996-09-16 1996-09-16 Deposition chamber cleaning technique using a high power remote excitation source
US08/707,491 1996-09-16
KR1019970046999A KR19980024578A (ko) 1996-09-16 1997-09-12 고전력 원격 여기원을 사용하는 증착 챔버의 정화 방법 및 증착장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019970046999A Division KR19980024578A (ko) 1996-09-16 1997-09-12 고전력 원격 여기원을 사용하는 증착 챔버의 정화 방법 및 증착장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020060027844A Division KR20060086894A (ko) 1996-09-16 2006-03-28 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법

Publications (2)

Publication Number Publication Date
KR20070087698A true KR20070087698A (ko) 2007-08-29
KR100852796B1 KR100852796B1 (ko) 2008-08-18

Family

ID=24841912

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1019970046999A KR19980024578A (ko) 1996-09-16 1997-09-12 고전력 원격 여기원을 사용하는 증착 챔버의 정화 방법 및 증착장치
KR1020050000753A KR100852796B1 (ko) 1996-09-16 2005-01-05 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법 및증착 장치 조립체
KR1020060027844A KR20060086894A (ko) 1996-09-16 2006-03-28 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019970046999A KR19980024578A (ko) 1996-09-16 1997-09-12 고전력 원격 여기원을 사용하는 증착 챔버의 정화 방법 및 증착장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020060027844A KR20060086894A (ko) 1996-09-16 2006-03-28 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법

Country Status (4)

Country Link
US (1) US5788778A (ko)
JP (2) JP3693798B2 (ko)
KR (3) KR19980024578A (ko)
TW (1) TW352456B (ko)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6814837B1 (en) * 1998-10-20 2004-11-09 Advance Micro Devices, Inc. Controlled gas supply line apparatus and process for infilm and onfilm defect reduction
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US5970995A (en) * 1998-12-31 1999-10-26 Schy; William W. Kit for removing calcium deposits in a shower head without removing the shower head from a shower arm
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
KR100513395B1 (ko) * 1999-02-04 2005-09-09 삼성전자주식회사 화학 기상 증착용 웨이퍼 안착대의 표면 정화 장치
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
AU6954300A (en) 1999-07-12 2001-01-30 Asml Us, Inc. Method and system for in situ cleaning of semiconductor manufacturing equipment using combination chemistries
US6468490B1 (en) * 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP4733856B2 (ja) * 2001-05-10 2011-07-27 ルネサスエレクトロニクス株式会社 高密度プラズマcvd装置のリモートプラズマクリーニング方法
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20030098038A1 (en) * 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US20040074516A1 (en) * 2002-10-18 2004-04-22 Hogle Richard A. Sub-atmospheric supply of fluorine to semiconductor process chamber
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
JP2004179426A (ja) * 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
JP3855982B2 (ja) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 クリーニング方法及びクリーニング装置
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7247561B2 (en) * 2003-12-11 2007-07-24 Micron Technology, Inc. Method of removing residual contaminants from an environment
CN1894763B (zh) 2003-12-12 2010-12-08 山米奎普公司 用于在离子植入中延长设备正常运行时间的方法及装置
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
GB0329460D0 (en) * 2003-12-19 2004-01-28 Oxford Instr Plasma Technology Apparatus and method for plasma processing
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7267842B2 (en) * 2004-03-15 2007-09-11 Air Products And Chemicals, Inc. Method for removing titanium dioxide deposits from a reactor
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20060016459A1 (en) * 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
US7115524B2 (en) 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100596488B1 (ko) * 2004-06-16 2006-07-03 삼성전자주식회사 반도체 기판 가공 방법
US7434712B2 (en) * 2004-07-09 2008-10-14 Blackhawk Industries Product Group Unlimited Llc Hooded holster
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
CN101278072A (zh) * 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
WO2007027350A2 (en) * 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
JP4823628B2 (ja) * 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
KR20080060241A (ko) * 2005-10-17 2008-07-01 오씨 외를리콘 발처스 악티엔게젤샤프트 원거리 플라즈마 소스를 이용한 대면적 pecvd 장치용클리닝 방법
JP5102217B2 (ja) 2005-10-31 2012-12-19 アプライド マテリアルズ インコーポレイテッド プロセス削減反応器
US20070107750A1 (en) * 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
CN102197158B (zh) * 2008-10-28 2014-01-29 三菱电机株式会社 等离子体cvd装置、半导体膜的制造方法、薄膜太阳能电池的制造方法以及等离子体cvd装置的清洗方法
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
WO2011062940A2 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Large area plasma processing chamber with at-electrode rf matching
US20110207256A1 (en) * 2010-02-24 2011-08-25 Applied Materials, Inc. In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20110237051A1 (en) * 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
JP2013524510A (ja) 2010-03-30 2013-06-17 アプライド マテリアルズ インコーポレイテッド p型拡散層の上に負荷電パッシベーション層を形成する方法
CN101837357B (zh) * 2010-05-04 2011-10-05 宁波大学 一种等离子体清洗装置
JP5548028B2 (ja) * 2010-05-14 2014-07-16 株式会社ランドマークテクノロジー 堆積チャンバのリモートクリーニング方法
EP2426737A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
EP2439792A1 (en) 2010-10-05 2012-04-11 Applied Materials, Inc. Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
EP2523227A1 (en) 2011-05-13 2012-11-14 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack
JP6239583B2 (ja) 2012-03-20 2017-11-29 マッパー・リソグラフィー・アイピー・ビー.ブイ. ラジカルを輸送するための装置および方法
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
JP6749225B2 (ja) * 2016-12-06 2020-09-02 東京エレクトロン株式会社 クリーニング方法
DE102017208329A1 (de) * 2017-05-17 2018-11-22 Ejot Gmbh & Co. Kg Berührungsfreie Reinigungsvorrichtung
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US20210391156A1 (en) * 2020-06-10 2021-12-16 Applied Materials, Inc. Clean unit for chamber exhaust cleaning

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPH02279160A (ja) * 1989-03-08 1990-11-15 Abtox Inc プラズマ滅菌方法及び滅菌装置
DE4132559A1 (de) * 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5206471A (en) * 1991-12-26 1993-04-27 Applied Science And Technology, Inc. Microwave activated gas generator
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
WO1996013621A1 (en) * 1994-10-31 1996-05-09 Krogh Ole D An ecr plasma source
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor

Also Published As

Publication number Publication date
JPH10149989A (ja) 1998-06-02
JP4578314B2 (ja) 2010-11-10
US5788778A (en) 1998-08-04
KR20060086894A (ko) 2006-08-01
TW352456B (en) 1999-02-11
KR100852796B1 (ko) 2008-08-18
KR19980024578A (ko) 1998-07-06
JP2005236332A (ja) 2005-09-02
JP3693798B2 (ja) 2005-09-07

Similar Documents

Publication Publication Date Title
KR100852796B1 (ko) 고전력 원격 여기원을 사용하는 증착 챔버의 세정 방법 및증착 장치 조립체
JP4520140B2 (ja) 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
US6736147B2 (en) Semiconductor-processing device provided with a remote plasma source for self-cleaning
KR100553481B1 (ko) 챔버 세정을 강화시키는 방법 및 장치
JP4916119B2 (ja) リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置
US20030129106A1 (en) Semiconductor processing using an efficiently coupled gas source
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
KR101246443B1 (ko) 금속계막의 성막 방법 및 기억 매체
KR20020012520A (ko) 기판 처리 챔버에 가스 흐름을 주입하는 방법 및 장치
JP2002507054A (ja) 遠隔プラズマクリーニング装置
JPH0697154A (ja) 反応装置の自己洗浄方法
US6749717B1 (en) Device for in-situ cleaning of an inductively-coupled plasma chambers
TWI838692B (zh) 用於處理基板的匹配網路及電漿處理腔室
TWI803032B (zh) 等離子體處理裝置及其處理方法
KR20040088948A (ko) Rps 교체용 분리 밸브를 가지는 cvd 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
E801 Decision on dismissal of amendment
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE AMENDMENT REQUESTED 20080201

Effective date: 20080414

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

EXPY Expiration of term