JP3855982B2 - クリーニング方法及びクリーニング装置 - Google Patents

クリーニング方法及びクリーニング装置 Download PDF

Info

Publication number
JP3855982B2
JP3855982B2 JP2003333553A JP2003333553A JP3855982B2 JP 3855982 B2 JP3855982 B2 JP 3855982B2 JP 2003333553 A JP2003333553 A JP 2003333553A JP 2003333553 A JP2003333553 A JP 2003333553A JP 3855982 B2 JP3855982 B2 JP 3855982B2
Authority
JP
Japan
Prior art keywords
plasma
process chamber
cleaning
gas
plasma source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003333553A
Other languages
English (en)
Other versions
JP2005101309A (ja
Inventor
利和 杉浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP2003333553A priority Critical patent/JP3855982B2/ja
Priority to US10/946,361 priority patent/US20050082001A1/en
Publication of JP2005101309A publication Critical patent/JP2005101309A/ja
Application granted granted Critical
Publication of JP3855982B2 publication Critical patent/JP3855982B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Public Health (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、プラズマ処理装置のプロセスチャンバー内に堆積した不要なCVD膜を除去する、プラズマを利用したクリーニング方法及びクリーニング装置に関する。
従来、半導体基板にCVD薄膜を形成するCVD装置におけるクリーニング方法は、例えばプラズマCVD法による酸化シリコン膜の製造方法を例にとると、表面を絶縁した電極を上下に平行に配置し、一定温度に保たれ真空容器内にCVD膜を堆積するシリコン基板等を搬入し、容器内を真空に引いた後、モノシランと亜酸化窒素を流す。ガスの流量と圧力が所定値に達した後、前記電極に高周波(RF)を印加し、一定時間保持して酸化シリコン膜を気相成長した後、RF印加を停止する。真空容器内のガスを真空引きすることによって排出した後、シリコン基板を搬出する(例えば特開平6-25859号公報参照)。
その後に、容器内に付着した余分な酸化シリコン膜をプラズマクリーニング法によってクリーニングする。すなわち、真空容器内から基板を搬出した後、C2F6,CF4,NF3等のフッ素系のエッチングガスと、O2またはArとの混合ガスを流し、RFを印加することによって真空容器内に形成された余分な酸化シリコン膜をエッチング除去するプラズマクリーニングを行う。
ここで、CVD装置のチャンバークリーニングについて説明する。CVD装置の薄膜形成用チャンバー(以下、プロセスチャンバーという)のクリーニングでは、CVDのプロセスでチャンバー内に堆積した酸化膜、窒化膜といった堆積物を、クリーニングガスと反応させて除去する。
クリーニング方法としては、幾つか方法があるが、in−situプラズマ(インサイチュープラズマという、プロセスチャンバーの中でプラズマを立てる)によるクリーニング形式と、プロセスチャンバー外でプラズマ化したガスでクリーニングを行うリモートプラズマとに大きく2つに分けられる。
クリーニングガスとしては、CF4,C2F6,C3F8,C4F8,NF3が代表的なものであり、in−situプラズマ方式では主にC2F6が利用されており、リモートプラズマ方式ではNF3が利用されている。
特開平6‐25859号公報
ところで、上述したプラズマクリーニングに用いるクリーニングガスは、地球温暖化ガスとして挙げられるもので、環境の観点からは無害化(除害)を必要とする。
プロセスチャンバー内でプラズマを立てるin−situ方式の場合、クリーニングガスのプラズマへの分解効率は30〜50%であり、実際にクリーニングへ寄与する利用効率は15〜30%程度である。従って、使用したクリーニングガスの半分以上はそのまま排出している。このときのクリーニングガスは温暖化ガスであり、除害する必要がある。
温暖化ガス削減の1つの解決策として、NF3のリモートプラズマがある。この方式は、NF3を90%以上分解できるため、排気ガス中の温暖化ガスを削減することが可能である。しかしながら、プロセスチャンバー外でプラズマ化したNF3ガスを配管でプロセスチャンバー内に導くためプロセスチャンバー内に入るまでに管璧などに衝突して、活性エネルギーが失われる結果不活性となり、NF3はN2,F2,F,F2などに分解され、NF3のクリーニングへの利用効率は10%前後と非常に低いものになっている。すなわち、NF3自身の分解効率は高いが、その殆んどはF2へと変化し利用されないまま工場のスクラバー施設で除害されてしまう。つまり、NF3の利用効率は極めて低いという問題がある。
そこで、本発明は、上記の問題に鑑みてなされたもので、リモートプラズマ方式の温暖化ガス削減のメリットを維持した上で、クリーニングガスの利用効率を上げることが可能なクリーニング方法及びクリーニング装置を提供することを目的とするものである。
本発明のプラズマ処理装置のプロセスチャンバーのクリーニング方法は、第1のプラズマ源にて、クリーニングガスを活性化させる工程と、前記活性化されたクリーニングガスを、第3のプラズマ源にて再活性化させる工程と、前記再活性化されたクリーニングガスを、第2のプラズマ源にて再々活性化させる工程と、前記再々活性化されたクリーニングガスを、前記プロセスチャンバー内の堆積物とを反応させることにより、該堆積物をガス化させる工程と、前記再々活性化されたクリーニングガスと、前記堆積物とが反応したものを排気させる工程と、を含み、前記第3のプラズマ源は、前記第1のプラズマ源と前記第2のプラズマ源の間とをつなぐ複数の配管にそれぞれ設置され、かつ、該第3のプラズマ源は、該第1のプラズマ源よりも、前記プロセスチャンバーに近い位置に設けられていること、を具備することを特徴とする。
本発明のこのような方法によれば、リモートプラズマ源で例えばフッ素(F)を含むガスをプラズマ化(活性化)し、プラズマ処理装置のプロセスチャンバー内に誘導する過程で、不活性になってしまったガスを、再度、プロセスチャンバー内でプラズマ化(再活性化)し、クリーニングガスの堆積物との反応を高め、ガスの利用効率を上げることが可能となる。
このような構成によれば、リモートプラズマ源でフッ素(F)を含むガスをプラズマ化し、プラズマ処理装置のプロセスチャンバー内に誘導する過程で、不活性になってしまったガスをチャンバー直前で再び活性化してプロセスチャンバーに導入でき、プロセスチャンバー内では、再々度プラズマ化(活性化)し、ガスのクリーニングへの利用効率をより一層上げることが可能となる。
本発明は、前記プラズマ処理装置のプロセスチャンバーのクリーニング方法において、第2のプラズマ源にて再々活性化させる工程は、前記プロセスチャンバー内で、in-situプラズマ法にて、再々活性化することを特徴とする。
本発明のプラズマ処理装置のプロセスチャンバーのクリーニング装置は、クリーニングガスを活性化させる第1のプラズマ源と、前記活性化されたクリーニングガスを再活性化させる第3のプラズマ源と、前記再活性化されたクリーニングガスを再々活性化させる第2のプラズマ源と、前記第2のプラズマ源を有する前記プロセスチャンバーと、前記プロセスチャンバーにて前記再々活性化されたクリーニングガスと前記プロセスチャンバー内の堆積物との反応物を排気させる排気装置と、を含み、前記第3のプラズマ源は、前記第1のプラズマ源と前記第2のプラズマ源の間とをつなぐ複数の配管にそれぞれ設置され、かつ、該第3のプラズマ源は、前記第1のプラズマ源よりも、前記プロセスチャンバーに近い位置に設けられていること、を具備することを特徴とする。
本発明のこのような構成によれば、リモートプラズマ源でフッ素(F)を含むガスをプラズマ化し、プラズマ処理装置のプロセスチャンバー内に誘導する過程で、不活性になってしまったガスを、再度、プロセスチャンバー内でプラズマ化(活性化)し、ガスのクリーニングへの利用効率を上げることが可能となる。
このような構成によれば、リモートプラズマ源でフッ素(F)を含むガスをプラズマ化し、プラズマ処理装置のプロセスチャンバー内に誘導する過程で、不活性になってしまったガスをチャンバー直前で再び活性化してプロセスチャンバーに導入でき、プロセスチャンバー内では、再々度プラズマ化(活性化)し、ガスのクリーニングへの利用効率をより一層上げることが可能となる。
本発明をCVD装置に適用したの実施例について図面を参照して説明する。
本発明によるCVD装置のプロセスチャンバーのクリーニング方法は、リモートプラズマ源において活性化したガスを前記プロセスチャンバーに導入し、該プロセスチャンバーにおいて前記ガスを再活性化し、プロセスチャンバー内の堆積物とを反応させて、排気するものである。
図1は本発明の実施例1のクリーニング方法を説明する図である。本実施例は、CVD装置のプロセスチャンバーのクリーニング方法を説明するが、CVD装置としてプラズマCVD装置を用いた場合におけるクリーニング装置を例として示している。
図1に示すように、クリーニング装置10は、プラズマCVD装置11と、リモートプラズマ発生装置30とを有して構成されている。プラズマCVD装置11は、真空状態(減圧状態)に維持されるプロセスチャンバー12を備えており、プロセスチャンバー12の下面壁12cに形成された排気路13を介して、さらに高真空ポンプ14及び低真空ポンプ15(並びに除害装置16)を介して内部の気体を外部に排出することによって、一定の真空状態(減圧状態)に維持されるようになっている。なお、除害装置16は、CVD膜形成前やクリーニング後の排気プロセスにおいて、排気したガスを無害化して外部に導出するための装置である。
また、プロセスチャンバー12の内部には、例えば、シリコンウエハなどの表面にシリコン薄膜を堆積(蒸着を含む)する基板Pを載置するための載置台を構成する下部電極17が配置されている。この下部電極17は、プロセスチャンバー12の下面壁12cを貫通して配置されている。なお、図示しないが、下部電極17と下面壁12cとの間には、プロセスチャンバー12内の真空度を確保するために、シールリングなどのシール部材が配設されている。
一方、プロセスチャンバー12の上方には、上部電極18が設けられており、その基端部分19が、プロセスチャンバー12の上面壁12aを貫通して、プロセスチャンバー12外部に配設された高周波電源20に接続されている。この上部電極18には、図示しないが、高周波印加コイルなどの高周波印加装置21が設けられており、この高周波印加装置21と高周波電源20の間には、マッチング回路22が配設されている。これにより、高周波電源20により発生した高周波を損失なく高周波印加コイルなどの高周波印加装置21へ伝播できるようになっている。
また、プロセスチャンバー12の壁、例えば上面壁12aには、原料ガス供給路23が形成されており、図示しない原料ガス供給源から、原料ガスが、減圧状態に維持されたプロセスチャンバー12内に導入されるように構成されている。なお、原料ガス供給路23は上部電極18に通じ、原料ガスが上部電極18を通してプロセスチャンバー12内に流れ込むようにしてもよい。プロセスチャンバー12、高周波電源20及び高周波印加装置21、下部電極17及び上部電極18は、CVDプラズマ源兼プラズマクリーニング時の第2のプラズマ源を構成するものである。
さらに、プロセスチャンバー12の側部には、フッ素系のクリーニングガスをプラズマ化するリモートプラズマ源としてのリモートプラズマ発生装置30が備えられている。そして、このリモートプラズマ発生装置30によってプラズマ化されたクリーニングガスは、ガス導入路を構成する配管31を介して、プロセスチャンバー12の例えば側面壁12bからチャンバー12内部に導入されるように構成されている。
なお、リモートプラズマ発生装置30は、CVD膜形成時には使用されないが、成膜後の基板Pを搬出した後にチャンバー内をプラズマクリーニングする時に第1のプラズマ源として使用される。また、前述のプロセスチャンバー12、高周波電源20及び高周波印加装置21、下部電極17及び上部電極18からなるプラズマ源は、CVD膜形成時のプラズマ源として機能する一方、プラズマクリーニング時には前出のリモートプラズマ源で生成される活性化(プラズマ化)したガスをチャンバー12内で更に活性化させる第2のプラズマ源として機能するものである。
このように構成される本発明に係るクリーニング装置10におけるプラズマCVD装置11は、下記のように動作する。
先ず、プロセスチャンバー12の下部電極17の載置台上に、例えば、シリコンウエハなどの表面にシリコン薄膜を蒸着するための基板Pを載置する。
そして、プロセスチャンバー12の下面壁12cに形成された排気路13を介し、ポンプ14,15などを介して内部の気体を外部に排出することによって、一定の真空状態(減圧状態)例えば、10〜2000Paの減圧状態に維持する。そして、原料ガス供給源(図示略)から、原料ガス供給路23を介して、原料ガスが、減圧状態に維持されたプロセスチャンバー12内に導入される。
この場合、原料ガス供給源(図示略)から供給される原料ガスとしては、例えば、酸化シリコン(SiO2)を成膜する際には、モノシラン(SiH4)、N2O、N2、O2、Ar等を供給し、窒化シリコン(Si3N4など)を成膜する際には、モノシラン(SiH4)、NH3、N2、O2およびArを供給すればよい。しかしながら、この原料ガスとしては、これに限定されるものではなく、成膜する薄膜の種類などに応じて、例えば、原料ガスとして、ジシラン(Si2H6)、TEOS(テトラエトキシシラン;Si(OC2H5)4)等、同伴ガスとして、O2、O3など適宜変更して使用することができる。
そして、高周波電源20により発生した高周波を高周波印加コイルなどの高周波印加装置21から上部電極18に高周波電界を発生させ、この電界をトリガとして原料ガスを励起させて、反応性の高いプラズマ状態(以下、活性化した反応性ガスという)とする。そして、この反応性ガスの作用によって、下部電極17に設置されたシリコンウエハなどの基板Pの表面にシリコン薄膜を形成する。
ところで、このようなプラズマCVD装置10では、成膜工程の際に、プロセスチャンバー12内の放電によって、成膜すべき半導体基板P以外のプロセスチャンバー12の内壁、電極などの表面にも、SiO2、Si3N4などの薄膜材料が付着して堆積物が形成される。この堆積物が、一定の厚さまで成長すると自重、応力などによって剥離、飛散して、これが成膜工程の際に、異物として、半導体基板Pへの微粒子の混入、汚染の原因となる。その結果、高品質な薄膜が製造できず、半導体回路の断線や短絡の原因となり、歩留まりも低下する虞れがある。
このため、プラズマCVD装置11のクリーニング装置10では、リモートプラズマ発生装置30によって、フッ素系のクリーニングガスを例えばNF3をプラズマ化し、配管31を介して、減圧状態に維持されたプロセスチャンバー12内に導入する。そして、リモートプラズマ発生装置30では、高周波電界を形成してクリーニングガスが活性化した反応性ガスとなり、例えばNF3はN2,F2,F,F2などに分解され、プロセスチャンバー12の内壁や電極などの表面に付着し堆積したSiO2、Si3N4などの堆積物と反応して、揮発性のSiF4として堆積物をガス化することによって、ポンプ14,15により排気ガスとして排気路13を介し、更に除害装置16を経てプロセスチャンバー12の外部に排出されるようになっている。
このクリーニング方法においては、リモートプラズマ源であるリモートプラズマ発生装置30でクリーニングガスが活性化した反応性ガスに分解され、その反応性ガスがプロセスチャンバー12内に導入されるが、その際、50cm程度の長さの配管31の内壁に活性化したクリーニングガスが接触及び、衝突してしまい活性エネルギーが失われる結果、チャンバー12の堆積物と反応してガス化する効率が低下するので、これを防ぐべく、本発明のクリーニング装置10では、リモートプラズマ発生装置30内で活性化したガスをプロセスチャンバー12内に誘導すると同時に、プロセスチャンバー12でin−situプラズマを行い、リモートプラズマ発生装置30内で活性化したガスを更に活性化(即ち、再活性化)する。このクリーニングガスの再活性化は、リモートプラズマにて生成された活性化した反応性ガスをプロセスチャンバー12内に導入し、高周波電源20による高周波(RF)を高周波印加装置21に供給して上部電極18に印加することによって行われる。
つまり、本発明では、リモートプラズマ源でフッ素(F)を含むガスをプラズマ化し、CVDプロセスチャンバー内に誘導する過程で、不活性になってしまったガスを、再度、プロセスチャンバー内でプラズマ化(活性化)し、ガスのクリーニングへの利用効率を上げることに特徴がある。
この場合、リモートプラズマ発生装置30によってプラズマ化されるフッ素系のクリーニングガスとしては、NF3のほかに、例えば、CF4、C2F6、C3F8、C4F8などが挙げられる。
また、クリーニングガスは、本発明の効果を損なわない範囲で、適宜他のガスを混合して用いることができる。このような他のガスとしては、たとえば、O2、Arなどが挙げられる。このような他のガスの配合量は特に限定されず、CVD装置11のプロセスチャンバー12の内壁などに付着した堆積物(付着物)の量、厚さ、Fを含むガスの種類、堆積物の組成などに対応して決定する。
図2は本発明の実施例1のクリーニング方法の原理を説明する図である。
第1のプラズマ源であるリモートプラズマ発生装置30にNF3などのフッ素(F)を含むクリーニングガスを導入し、プラズマ化する。例えばNF3はN2,F2,F,F2などに分解される。これらの活性化したガスやF2は、第2のプラズマ源を有するプロセスチャンバー12に導入され、第2のプラズマ源によってプロセスチャンバー12内に再びプラズマを立てることによって、F2プラズマを生成して、プロセスチャンバー12の内壁などに堆積した余分な堆積物との反応を促進させる。ここで、プロセスチャンバー12において第2のプラズマにてin−situプラズマを立てなければ第1のプラズマ源で分離したガスのうち、F2の多くはそのまま使われずに排気されることになってしまうのを、本発明のクリーニング方法によればF2を効率的にクリーニングに利用することができ、ガスの利用効率を上げることができる。
図3は本発明の実施例2のクリーニング方法を説明するクリーニング装置である。図1と同一部分には同一符号を付して説明する。
図3においては、クリーニング装置10Aは、プラズマCVD装置11と、リモートプラズマ発生装置30と、両装置間をつなぐ複数の複数の配管にそれぞれ設けた複数のプラズマ源36〜39とを有して構成されている。すなわち、第1のプラズマ源であるリモートプラズマ発生装置30からの活性化ガスを複数(図では4本)の配管32〜35にて導出し、配管32〜35それぞれのプロセスチャンバー12に近い位置に、複数(図では4つ)の第3のプラズマ源36〜39を設け、さらに活性化して、プロセスチャンバー12に構成された前記第2のプラズマ源に供給する構成としたものである。
図3におけるクリーニング動作は次のようになる。
プロセスチャンバーに供給するクリーニングガス例えばNF3を第1のプラズマ源であるリモートプラズマ発生装置30にて活性化した後、その活性化した分解ガス例えばN2,F2,F,F2を複数の配管32〜35にて導出し、それぞれの配管に設けた複数の第3のプラズマ源であるプラズマ発生源36〜39でさらに再活性化してプロセスチャンバー12内に導入し、更にプロセスチャンバー12に構成された第2のプラズマ源にてプロセスチャンバー12内でin−situプラズマ法にて再々活性化する。そして、第2のプラズマ源で活性化したガスと前記プロセスチャンバー12内の堆積物とを反応させ、その反応したものをポンプ14,15にて排気し、更に、排気したガスを除害装置16にて無害化して外部に排出する。
図3の実施例によれば、第1のプラズマ源であるリモートプラズマ発生装置30と、プロセスチャンバー12に構成された第2のプラズマ源との間を、複数の配管32〜35で結合し、これら第1,第2のプラズマ源を結ぶ複数の配管32,33,34,35それぞれに、複数の第3のプラズマ源36,37,38,39を設けることにより、リモートプラズマ発生装置30で活性化したクリーニングガスを、プロセスチャンバー12の直前のプラズマ源36〜39でさらに再活性化した後、プロセスチャンバー12に構成されている前記第2のプラズマ源で再々活性化する。これにより、リモートプラズマ源で効率よく分解(分解率100%)された活性化ガスを、複数の配管32〜35によりチャンバー12の例えば側面壁12bから均等に導入できると共に、分解したガスをチャンバー12内に不活性化することなく導入でき、更にプロセスチャンバー12内でin−situプラズマにて再々活性化することにより、図1の実施例に比べてチャンバー内の堆積物をより効率的に反応させ、堆積物を効果的にガス化して排出することが可能となる。
尚、本発明の上記実施例では、プラズマ源として、プラズマ生成にはRF(Radio Frequencyの略)の高周波電界を使用した場合であるが、これに代えて、プラズマ源として、ECR(Electron Cyclotron Resonanceの略、電子サイクロトロン共鳴)を用いて 高真空下で高密度,高活性なプラズマを生成し、これを用いたCVD法やプラズマクリーニングを行っても良い。
本発明の上記実施例では、プラズマCVD装置のプロセスチャンバー内に堆積した不要な堆積物をクリーニング除去するのに、プラズマCVDで成膜用に用いる高周波電源20を含む第2のプラズマ源をプラズマクリーニング用として兼用しているが、クリーニング用高周波電源(例えばプラズマCVD成膜用高周波とは異なった周波数又は出力を有している高周波電源)を別に設けた構成としてもよい。
また、第2のプラズマ源としてプラズマCVD成膜用とは別のクリーニング用高周波電源及び高周波印加装置を用いれば、CVD装置としてプラズマCVD法によるCVD装置を使用する場合に限定されず、プラズマCVD法以外(常圧,減圧,光励起,熱分解などのCVD法)のCVD装置におけるチャンバー内の堆積物を効率的に除去することも可能である。
本発明は、以上述べた実施例に限るものではなく、本発明の要旨を変えない範囲で各実施例を適宜変更して実施することができる。
本発明の実施例1のクリーニング方法を説明する構成図。 本発明の実施例1のクリーニング方法の原理を説明する図 本発明の実施例2のクリーニング方法を説明する構成図。
符号の説明
10,10A…クリーニング装置、11…CVD装置、12…プロセスチャンバー、16…除害装置、17…下部電極、18…上部電極、20…高周波電源、21…高周波印加装置、12,17,18,20及び21…第2のプラズマ源、30…リモートプラズマ発生装置(第1のプラズマ源)、31…配管、32〜35…配管、36〜39…プラズマ発生源(第3のプラズマ源)。

Claims (3)

  1. プラズマ処理装置のプロセスチャンバーのクリーニング方法であって、
    第1のプラズマ源にて、クリーニングガスを活性化させる工程と、
    前記活性化されたクリーニングガスを、第3のプラズマ源にて再活性化させる工程と、
    前記再活性化されたクリーニングガスを、第2のプラズマ源にて再々活性化させる工程と、
    前記再々活性化されたクリーニングガスを、前記プロセスチャンバー内の堆積物とを反応させることにより、該堆積物をガス化させる工程と、
    前記再々活性化されたクリーニングガスと、前記堆積物とが反応したものを排気させる工程と、を含み、
    前記第3のプラズマ源は、前記第1のプラズマ源と前記第2のプラズマ源の間とをつなぐ複数の配管にそれぞれ設置され、かつ、該第3のプラズマ源は、該第1のプラズマ源よりも、前記プロセスチャンバーに近い位置に設けられていること、を具備することを特徴とするプラズマ処理装置のプロセスチャンバーのクリーニング方法。
  2. 請求項1記載において、
    第2のプラズマ源にて再々活性化させる工程は、前記プロセスチャンバー内で、in-situプラズマ法にて、再々活性化することを特徴とするプラズマ処理装置のプロセスチャンバーのクリーニング方法。
  3. プラズマ処理装置のプロセスチャンバーのクリーニング装置であって、
    クリーニングガスを活性化させる第1のプラズマ源と、
    前記活性化されたクリーニングガスを再活性化させる第3のプラズマ源と、
    前記再活性化されたクリーニングガスを再々活性化させる第2のプラズマ源と、
    前記第2のプラズマ源を有する前記プロセスチャンバーと、
    前記プロセスチャンバーにて前記再々活性化されたクリーニングガスと前記プロセスチャンバー内の堆積物との反応物を排気させる排気装置と、を含み、
    前記第3のプラズマ源は、前記第1のプラズマ源と前記第2のプラズマ源の間とをつなぐ複数の配管にそれぞれ設置され、かつ、該第3のプラズマ源は、前記第1のプラズマ源よりも、前記プロセスチャンバーに近い位置に設けられていること、を具備することを特徴とするプラズマ処理装置のプロセスチャンバーのクリーニング装置。
JP2003333553A 2003-09-25 2003-09-25 クリーニング方法及びクリーニング装置 Expired - Fee Related JP3855982B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003333553A JP3855982B2 (ja) 2003-09-25 2003-09-25 クリーニング方法及びクリーニング装置
US10/946,361 US20050082001A1 (en) 2003-09-25 2004-09-21 Cleaning method and cleaning device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003333553A JP3855982B2 (ja) 2003-09-25 2003-09-25 クリーニング方法及びクリーニング装置

Publications (2)

Publication Number Publication Date
JP2005101309A JP2005101309A (ja) 2005-04-14
JP3855982B2 true JP3855982B2 (ja) 2006-12-13

Family

ID=34461532

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003333553A Expired - Fee Related JP3855982B2 (ja) 2003-09-25 2003-09-25 クリーニング方法及びクリーニング装置

Country Status (2)

Country Link
US (1) US20050082001A1 (ja)
JP (1) JP3855982B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021141438A1 (ko) * 2020-01-10 2021-07-15 주성엔지니어링(주) 챔버 세정 방법

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006319042A (ja) * 2005-05-11 2006-11-24 Tokyo Electron Ltd プラズマクリーニング方法、成膜方法
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4282650B2 (ja) * 2005-10-03 2009-06-24 エルピーダメモリ株式会社 プラズマ処理装置
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US20080190446A1 (en) * 2007-02-13 2008-08-14 Ranade Rajiv M Control of dry clean process in wafer processing
EP2176444A1 (en) * 2007-07-17 2010-04-21 Applied Materials, Inc. Clean rate improvement by pressure controlled remote plasma source
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
TWI386987B (zh) * 2008-03-25 2013-02-21 Advanced Semiconductor Eng 電漿清洗裝置、用於電漿清洗裝置之載具及電漿清洗之方法
CN102089848B (zh) * 2008-07-09 2013-05-22 欧瑞康太阳能股份公司(特吕巴赫) 远程等离子体清洗方法和用于应用所述方法的设备
US8501624B2 (en) * 2008-12-04 2013-08-06 Varian Semiconductor Equipment Associates, Inc. Excited gas injection for ion implant control
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
WO2011141986A1 (ja) * 2010-05-10 2011-11-17 株式会社アルバック プラズマ成膜装置及び成膜方法
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
JP6552206B2 (ja) 2015-02-02 2019-07-31 東京エレクトロン株式会社 排気管無害化方法及び成膜装置
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021141438A1 (ko) * 2020-01-10 2021-07-15 주성엔지니어링(주) 챔버 세정 방법

Also Published As

Publication number Publication date
US20050082001A1 (en) 2005-04-21
JP2005101309A (ja) 2005-04-14

Similar Documents

Publication Publication Date Title
JP3855982B2 (ja) クリーニング方法及びクリーニング装置
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
TW554418B (en) Method and device for cleaning chemical vapor deposition apparatus
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
KR100779823B1 (ko) 박막 형성 장치, 박막 형성 방법 및 박막 형성 장치의 세정 방법
KR101146063B1 (ko) 사이클형 고압 및 저압 세정 단계들을 이용한 원격 플라즈마 세정 방법
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP2019515505A (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
JP2006303431A (ja) 成膜装置、成膜方法及び記憶媒体
JP2004343026A (ja) Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3709432B2 (ja) 排ガス処理装置及び基板処理装置
JP2004002944A (ja) Cvd装置およびそれを用いたcvd装置のクリーニング方法
CN111719137B (zh) 成膜装置的清洗方法
TWI812827B (zh) 氮化膜之成膜方法
TWI748453B (zh) 使用電漿及蒸氣之乾式清潔設備
JP2006202945A (ja) 半導体製造装置
JP2004537844A (ja) 基板処理における白色粉末排気除去用装置
JP2005109194A (ja) Cvd反応室のクリーニング装置
JP2008294121A (ja) 半導体装置の製造方法および製造装置
JPH07335563A (ja) プラズマcvd装置
JPH09186149A (ja) 半導体製造装置のクリーニング方法及び半導体装置の製造方法
JP2000323466A (ja) 基板処理装置
JP2001127056A (ja) プロセスチャンバー内のクリーニング方法及び基板処理装置
JP2006287023A (ja) プラズマcvd装置及び成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060523

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060822

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060904

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090922

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100922

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100922

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110922

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120922

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130922

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees