CN102089848B - 远程等离子体清洗方法和用于应用所述方法的设备 - Google Patents

远程等离子体清洗方法和用于应用所述方法的设备 Download PDF

Info

Publication number
CN102089848B
CN102089848B CN2009801264409A CN200980126440A CN102089848B CN 102089848 B CN102089848 B CN 102089848B CN 2009801264409 A CN2009801264409 A CN 2009801264409A CN 200980126440 A CN200980126440 A CN 200980126440A CN 102089848 B CN102089848 B CN 102089848B
Authority
CN
China
Prior art keywords
rps
remote plasma
plasma source
exhaust apparatus
outlets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801264409A
Other languages
English (en)
Other versions
CN102089848A (zh
Inventor
F-G.卢
C.埃勒特
G.贝切尔
J.马丁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Solar Services AG
Original Assignee
Oerlikon Solar IP AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oerlikon Solar IP AG filed Critical Oerlikon Solar IP AG
Publication of CN102089848A publication Critical patent/CN102089848A/zh
Application granted granted Critical
Publication of CN102089848B publication Critical patent/CN102089848B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种带有真空腔室(1)的真空处理系统具有进口(14)、至少第一和第二出口(3,4)、在所述出口(3,4)中的第一个出口处的排气装置(13)、和远程等离子体源RPS,其中RPS被附连于到所述出口中的第二个出口的连接点。在用于利用这种布置对真空处理系统进行远程等离子体清洗的方法中,自由基流由所述远程等离子体源产生并且在经由所述出口中的第二个出口(4)操作排气装置(13)时被引导到所述出口中的第一个出口。

Description

远程等离子体清洗方法和用于应用所述方法的设备
技术领域
本发明一般涉及如例如在真空处理或者加工系统中使用的、适用于移除在处理腔室内的残余物或者多余沉积物的方法和设备。更加具体地,本发明涉及一种用于利用硅和/或含硅组分清洗处理腔室的内部的方法。
背景技术
在半导体器件的工业制造中,用于沉积具有特定性质的层的系统得到广泛的使用。这些是在大气或者亚大气条件下沉积的半导电的、金属的、电介质的或者其它的层。除了别的以外,CVD(化学汽相沉积)、PECVD(等离子体增强CVD)、PVD(物理汽相沉积)以及APCVD(大气压力CVD)是众所周知的。用于亚大气压力范围的这种加工系统包括(至少)真空腔室、对于物质(例如工艺和/或沉积气体或者液体)的(一个或者多个)进口和移除残余物的(一个或者多个)出口。
由于这种沉积过程,具有所期性质、厚度和均质性的层被沉积在工件或者基板上;然而所述真空腔室(还称作:处理或者沉积腔室)的内部以及相邻区域(例如进口和出口)被(这里)多余的涂层所影响。在沉积这种薄膜层(例如硅层、纳米或者微晶硅层)之后,或者在每一次个体执行(run)(沉积步骤或者循环)之后或者在大量沉积执行之后必须清洗沉积腔室。在本领域中通常已知的是使用远程等离子体源(RPS)进行这种清洗。这种RPS在被流体地连接到所述处理腔室的单独腔室中产生活性物质(这里例如氟自由基),因此将自由基传送到沉积腔室中。为了达到均匀的清洗条件和因此最佳气体使用以及尽可能短的清洗时间,要求到待被清洗的腔室中的均匀气体供应或者自由基供应。
与对于例如在晶片处理中的较小系统而言相比,对于大面积处理设施诸如用于TFT面板、建筑玻璃和/或光伏电池的沉积系统而言,该问题更加显著。通常已知的是将RPS系统附连到还被用于沉积和工艺气体的进口系统。这样,清洗自由基以与负责沉积的气体相同的方式被引导并且这种其中已发生多余的沉积的全部区域也被清洗自由基影响的可能性是高的。
在本领域中已知的问题
由于体积和表面复合,将活性物质传送到沉积腔室中在大面积沉积设备中导致(linked to)活性物质的显著损失。经常使用的气体喷淋分配系统包括具有相当长度的管线,这意味着在RPS中产生自由基直至到达待被清洗的区域之间的时间是显著的。在其中制备小得多的样本(最大具有30cm直径的晶片)的半导体设备中,有关距离短得多,因此在半导体/晶片工业中这个问题很少涉及。
必须对于大面积反应器优化到大面积腔室中的自由基供应从而确保均质和快速的清洗。也需要在大面积设备中解决在RPS器件和待被清洗的腔室之间的压力梯度。由于管子(管线)的长度而发生了不可忽略的压力梯度,这影响待被清洗的腔室和RPS自身的操作的最佳参数空间。在RPS中和在沉积腔室中的、分开的参数优化因此从未被解决,因为在这些小的腔室中,两个参数空间紧密地关联并且不可分离。授予Harvey的US 7,037,376通过将清洗气体从远程等离子体源经由预真空线路引导到腔室中并且经由气体分配喷淋头引导到排气口中而解决该问题。
发明内容
本发明的一个实施例包括带有进口14和至少两个出口3、4的一种真空腔室、处理或者沉积腔室或者反应器1。所述出口中的第一个包括用于RPS的连接点。第二出口包括排气装置诸如真空泵13。一种用于远程等离子体清洗的方法包括在经由所述出口中的第二个(参考数字4)操作排气装置13时将由在连接点处附连的远程等离子体源产生的自由基流引导到真空腔室1的至少两个出口中的第一个(参考数字3)。
附图说明
图1示出带有一个RPS和两个排气装置12、13的、本发明的实施例。
图2示出根据本发明的实施例,其中两个RPS源正与阀门7、8、9、10一起使用。
图3示出仍然带有两个出口、两个RPS但是带有一个公共排气泵的、类似于图2的实施例。
具体实施方式
本发明包括经由至少两个泵送管子或者出口3、4中的第一个将用于清洗的气体(NF3、C2Fs、CF4、CHF3、F2、HF、C12、HCl或者任何其它含氟或者含氯前体)馈送到所述真空腔室中。
从外部RPS腔室到沉积腔室1的或者到(一个或者多个)出口3、4的连接点的连接管道5、6的几何形状必须在直径(在0.5 cm和50 cm之间)、长度(根据可用空间,在0.25 m和50 m之间)、形状和材料方面得以优化以最小化流阻。这能够例如经由具有至少0.5 mm直径的截面的隔膜、波纹管、角部(在两条线路之间的角度在0°和90°之间)等的特定分布而得以完成。图1示出带有两个出口3、4和被连接到出口3的一个RPS的如上所述的本发明的实施例。
因为泵线路(带有排气装置12、13的出口3、4)将在沉积期间被用于正常泵送,所以RPS系统的污染必须得以避免。这能够通过在外部RPS和沉积腔室1之间的连接管道(5)中安设抑制沉积气体的屏障而得以实现。这可以是例如具有在50%和100%之间的密封性的阀门(在图1中的特征7和图2中的7、8)和/或在从RPS到腔室中的方向上的低流量的净化气体,每单位垂直管子表面(管子截面)的净化流量是至少20 sccm/cm2。这种净化气体可以例如是惰性气体例如Ar。
能够通过安设具有在25%和99%之间的透明度的分配板或者栅格而实现清洗自由基的均质分布。然而必须指出,对于沉积以及对于清洗气体分配而言,这些栅格必须同时地得以优化。
优选地以如下方式提供压力范围的单独优化:在RPS中的压力在0.5 mbar和25 mbar之间,而在反应器中的压力在0.1 mbar和10 mbar之间。这能够例如经由修改管子几何形状、改变总气体流量、使用稀有气体(例如He或者Ar)的混合物而得以实现,这导致气体流动加速和改变的压力梯度或者多级配方(recipe)。
RPS清洗与标准原位(功率密度在0.02 W/cm2和0.5 W/cm2之间)清洗的组合-称为混合清洗-优化了主等离子体腔室的清洗和电介质补偿层(如果存在的话)的真空间隙中的清洗。这能够例如在众所周知的平行板反应器类型的等离子体沉积腔室中得以实现。
在带有来自沉积腔室的多于一侧的、多于一条泵线路的反应器中,能够使用多于一个RPS进口。图2示出带有经由管道5、6连接到出口3、4的2个RPS源的这种实施例。
如果到沉积腔室中的多于一个RPS进口将被安设(如在图2与3中所示),则将使用时间切换方案,其中切换频率在0.001 Hz和0.5 Hz之间并且占空比在10%和50%之间以优化清洗均质性和因此清洗时间。
该切换方案包括用于交替地阻断泵送线路之一从而增加通过另一线路的清洗效率的阀门9、10。参考图2,两个泵12、13在清洗循环期间均是操作的。当阀门9关闭时,来自连接到出口3的RPS的清洗气体将变得对于反应器1而言有效并且将经由出口4和泵13进行排气。根据切换循环,在阀门9打开时,阀门10将关闭,这导致来自被连接到出口4的RPS的清洗气体变得对于反应器1而言有效。在图3的实施例中,使用单个泵,然而原理仍然是相同的。
本发明进一步的优点
已知的是设计真空沉积系统从而被连接到泵的出口并不呈现太大的流阻,因为增加的流阻立即导致延长的泵送时间和降低的泵送效率。因此无论如何那些泵送线路将通常得到优化。本发明现在以反向模式使用那些经过优化的出口和泵送线路以使清洗气体在短时间中流入反应腔室中,由此显著地增加清洗效率。
因此根据本发明的RPS的使用能够帮助分离沉积和清洗处理。在没有任何RPS用于所谓的原位清洗的情况下,必须对于两种应用即最佳沉积和最佳清洗优化所有的RF部件。RF和腔室部件的这个优化是复杂的、庞大的并且有时要求次优的折中。通过安设RPS、RF匹配箱(matchbox)、RF馈送带,寿命和防腐蚀相关的回填气体能够被显著地改进并且被专门地设计用于沉积循环。

Claims (10)

1.一种真空处理系统,包括带有进口(14)、至少第一和第二出口(3,4)的真空腔室(1),在所述出口(3,4)中的至少一个出口处的排气装置(13)和经由管道(5,6)连接到所述出口(3,4)的第一和第二远程等离子体源RPS,其特征在于还包括在所述远程等离子体源RPS与对应的排气装置之间安设的阀门(9,10),其用于根据时间切换方案交替地阻断泵送线路之一。
2.根据权利要求1的真空处理系统,其中抑制沉积气体的屏障阀门(7,8)被安设于在外部RPS和沉积腔室(1)之间的连接管道(5,6)中。
3.一种用于对于真空处理系统进行远程等离子体清洗的方法,所述真空处理系统带有真空腔室(1)、至少第一和第二出口(3,4)、经由管道(5,6)连接到所述出口(3,4)的第一和第二远程等离子体源RPS和在所述出口(3,4)中的至少一个出口处的排气装置(13),所述方法包括
-由所述远程等离子体源产生自由基流
-将所述流引导到所述出口
-在清洗循环期间操作所述排气装置,
其特征在于,时间切换方案被用于在所述远程等离子体源RPS与对应的排气装置之间安设的阀门(9,10),所述切换方案交替地阻断泵送线路之一,因此增加通过另一泵送线路的清洗效率。
4.根据权利要求3的方法,其中从清洗气体NF3、C2F6、CF4、CHF3、F2、HF、C12、HCl之一产生所述自由基。
5.根据权利要求3-4中任何一项的方法,其中所述RPS在0.5mbar和35mbar之间的压力下操作并且所述真空腔室被保持在0.1mbar和10mbar之间。
6.根据权利要求3-4中任何一项的方法,其中稀有气体被混合到所述自由基流。
7.根据权利要求3-4中任何一项的方法,其中在所述真空腔室中,另外地执行以在0.02 W/cm2和0.5 W/cm2之间的功率密度的标准原位清洗。
8.根据权利要求3-4中任何一项的方法,其中所述时间切换方案采用在0.001Hz和0.5Hz之间的切换频率以及在10%和50%之间的占空比。
9.根据权利要求3-4中任何一项的方法,其中在沉积期间提供从所述RPS到所述处理腔室(1)中的惰性净化气体流。
10.根据权利要求9的方法,其中惰性净化气体流的每单位管子截面的流量是至少20 sccm/cm2
CN2009801264409A 2008-07-09 2009-07-07 远程等离子体清洗方法和用于应用所述方法的设备 Expired - Fee Related CN102089848B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7928608P 2008-07-09 2008-07-09
US61/079286 2008-07-09
PCT/CH2009/000239 WO2010003266A1 (en) 2008-07-09 2009-07-07 Remote plasma cleaning method and apparatus for applying said method

Publications (2)

Publication Number Publication Date
CN102089848A CN102089848A (zh) 2011-06-08
CN102089848B true CN102089848B (zh) 2013-05-22

Family

ID=40984984

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801264409A Expired - Fee Related CN102089848B (zh) 2008-07-09 2009-07-07 远程等离子体清洗方法和用于应用所述方法的设备

Country Status (5)

Country Link
US (1) US20110203610A1 (zh)
EP (1) EP2311065B1 (zh)
CN (1) CN102089848B (zh)
TW (1) TW201008672A (zh)
WO (1) WO2010003266A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
US20200370175A1 (en) * 2019-05-22 2020-11-26 Asm Ip Holding B.V. Apparatus operating method and substrate processing apparatus
KR102274459B1 (ko) 2019-12-27 2021-07-07 한국기계연구원 플라즈마 세정장치 및 이를 구비한 반도체 공정설비
US20230390811A1 (en) * 2022-06-06 2023-12-07 Applied Materials, Inc. Throttle valve and foreline cleaning using a microwave source

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1489646A1 (en) * 2002-03-27 2004-12-22 Research Institute of Innovative Technology for the Earth Cvd apparatus and method of cleaning the cvd apparatus
EP1489651A1 (en) * 2002-03-26 2004-12-22 Tokyo Electron Limited Substrate processing device and substrate processing method, fast rotary valves, cleaning method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
KR100531629B1 (ko) * 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
JP3855982B2 (ja) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 クリーニング方法及びクリーニング装置
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
RU2008108013A (ru) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ удаления поверхностных отложений и пассивирования внутренних поверхностей реактора химического осаждения из паровой фазы
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
WO2007045110A2 (en) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Cleaning means for large area pecvd devices using a remote plasma source

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1489651A1 (en) * 2002-03-26 2004-12-22 Tokyo Electron Limited Substrate processing device and substrate processing method, fast rotary valves, cleaning method
EP1489646A1 (en) * 2002-03-27 2004-12-22 Research Institute of Innovative Technology for the Earth Cvd apparatus and method of cleaning the cvd apparatus

Also Published As

Publication number Publication date
TW201008672A (en) 2010-03-01
WO2010003266A1 (en) 2010-01-14
EP2311065B1 (en) 2014-09-10
EP2311065A1 (en) 2011-04-20
US20110203610A1 (en) 2011-08-25
CN102089848A (zh) 2011-06-08

Similar Documents

Publication Publication Date Title
CN101429651B (zh) 用于基板处理室的多端口抽气系统
US8075734B2 (en) Remote inductively coupled plasma source for CVD chamber cleaning
US20050281951A1 (en) Dielectric barrier discharge method for depositing film on substrates
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US20060266288A1 (en) High plasma utilization for remote plasma clean
US20060090700A1 (en) Gas-introducing system and plasma CVD apparatus
US11492705B2 (en) Isolator apparatus and methods for substrate processing chambers
CN103155716A (zh) 用以降低在等离子体蚀刻室中的粒子缺陷的方法及设备
CN102089848B (zh) 远程等离子体清洗方法和用于应用所述方法的设备
CN101292059A (zh) 用于利用远程等离子体源的大面积等离子体增强化学气相沉积装置的清洗器具
WO2020146047A1 (en) Pumping apparatus and method for substrate processing chambers
CN111069192A (zh) 原位清洗装置和半导体处理设备
JP2019516242A (ja) ウエハガス放出のためのプラズマエンハンストアニールチャンバ
CN102094186B (zh) 气体供应设备
TWI421369B (zh) 氣體供應設備
CN104962880A (zh) 一种气相沉积设备
CN116568862A (zh) 陈化处理腔室的方法
KR102438781B1 (ko) 챔버 세정 장치 및 이를 포함하는 반도체 소자 제조 장비
CN113365747A (zh) 用于清洁真空系统的方法、用于真空处理基板的方法以及用于真空处理基板的设备
CN216585199U (zh) 一种化学气相沉积设备
US20230323531A1 (en) Coating interior surfaces of complex bodies by atomic layer deposition
KR20040104040A (ko) 화학기상증착 장치의 배기시스템
KR20020075123A (ko) 화학 기상 증착장치
JPS62149877A (ja) 産業排気ガス用吸・排気装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: OERLIKON SOLAR AG (TRUBBACH)

Free format text: FORMER OWNER: OERLIKON SOLAR IP AG (TRUBBACH)

Effective date: 20120613

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20120613

Address after: Swiss Te Lui Bach

Applicant after: Oerlikon Solar IP AG. Truebbach

Address before: Swiss Te Lui Bach

Applicant before: Oerlikon Solar IP AG. Truebbach

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130522

Termination date: 20160707