CN101429651B - 用于基板处理室的多端口抽气系统 - Google Patents
用于基板处理室的多端口抽气系统 Download PDFInfo
- Publication number
- CN101429651B CN101429651B CN2008101754829A CN200810175482A CN101429651B CN 101429651 B CN101429651 B CN 101429651B CN 2008101754829 A CN2008101754829 A CN 2008101754829A CN 200810175482 A CN200810175482 A CN 200810175482A CN 101429651 B CN101429651 B CN 101429651B
- Authority
- CN
- China
- Prior art keywords
- chamber
- exhaust
- substrate
- coupled
- base plate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 65
- 238000005086 pumping Methods 0.000 title description 5
- 239000012530 fluid Substances 0.000 claims abstract description 29
- 239000007789 gas Substances 0.000 claims description 37
- 238000000034 method Methods 0.000 claims description 22
- 230000004913 activation Effects 0.000 claims description 20
- 239000000463 material Substances 0.000 claims description 15
- 238000002955 isolation Methods 0.000 claims description 12
- 238000006243 chemical reaction Methods 0.000 claims description 11
- 239000000203 mixture Substances 0.000 claims description 10
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 8
- 238000011144 upstream manufacturing Methods 0.000 claims description 8
- 239000008246 gaseous mixture Substances 0.000 claims description 6
- 230000008569 process Effects 0.000 claims description 6
- 238000000605 extraction Methods 0.000 claims description 2
- 239000004065 semiconductor Substances 0.000 abstract description 6
- 238000004519 manufacturing process Methods 0.000 abstract description 4
- 238000010926 purge Methods 0.000 abstract 1
- 238000004140 cleaning Methods 0.000 description 13
- 238000000151 deposition Methods 0.000 description 11
- 230000008021 deposition Effects 0.000 description 11
- 239000013049 sediment Substances 0.000 description 9
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000037452 priming Effects 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S134/00—Cleaning and liquid contact with solids
- Y10S134/902—Semiconductor wafer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8593—Systems
- Y10T137/85978—With pump
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8593—Systems
- Y10T137/87571—Multiple inlet with single outlet
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Health & Medical Sciences (AREA)
- Public Health (AREA)
- Epidemiology (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本发明描述了一种用于净化来自半导体制造室中的流体的排气前级管路。所述前级管路可以包括独立耦合到所述室的第一、第二和第三端口。还描述了一种半导体制造系统,所述半导体制造系统包括具有第一、第二和第三界面端口的基板室。所述系统还可以包括具有第一、第二和第三端口的多端口前级管路,其中将所述第一前级管路端口耦合到所述第一界面端口,所述第二前级管路端口耦合到所述第二界面端口,所述第三前级管路端口耦合到所述第三界面端口。所述系统还可以包括耦合到所述多端口前级管路的排气真空。
Description
相关申请的交叉引用
本申请要求2007年11月8日提交的名为“Multi-Port Pumping System ForReduced Cleaning Pressure In Dielectric Deposition Chambers(用于降低电介质沉积室的清洁压力的多端口抽气系统)”的美国临时申请第60/986,332号的依据35USC 119(e)(美国法典第35卷第119(e)条)的权益,在这里将所述美国临时申请内容整合作为参考。
技术领域
本发明涉及用于基板处理室的多端口抽气系统。
背景技术
现代半导体器件制造中的主要步骤之一是在基板或晶片上形成诸如氧化硅层的层。如众所周知的,可以通过化学气相沉积(CVD)来沉积这种层。在常规热CVD处理中,将反应气体供应到基板表面,在此处发生形成所需薄膜的热致化学反应。在常规等离子体CVD处理中,使用用于分解和/或活化反应气体中的反应种类的例如射频(RF)能量或微波能量来形成受控等离子体,以便产生所需薄膜。
在这种CVD处理期间,还发生在诸如处理室侧壁的区域上的多余沉积。如工业中已知的,通常使用原位室清洁操作去除累积在室壁内部上的多余沉积材料。普通室清洁技术包括使用诸如氟的蚀刻气体以从室壁和其它区域去除沉积材料。在某些处理中,将蚀刻气体引入到所述室中并形成等离子体,以便反应气体与沉积材料反应并将所述沉积材料从室壁去除。对于每个晶片或每n个晶片,通常在沉积步骤之间执行这种清洁程序。
某些半导体制造商使用远程等离子体清洁处理作为原位等离子体清洁的替代,可以使用远程等离子体清洁程序,在所述远程等离子体清洁程序中通过诸如微波等离子体系统、环形等离子体发生器或相似装置的高密度等离子体源远离基板处理室来产生蚀刻等离子体。随后将来自蚀刻等离子体的离解种类传送到基板处理室,在此处它们可以与累积的不期望沉积反应并将所述不期望沉积累积蚀刻掉。有时候,制造商使用远程等离子体清洁程序是由于它们提供比原位等离子体清洁“软”的蚀刻,即由于等离子体不与室部件接触,因此对于室组件的离子轰击和/或物理损伤较小。
在一种已知类型的远程等离子体清洁程序中,将三氟化氮(NF3)引入到远程等离子体系统(RPS)中,在此处由微波功率活化三氟化氮。RPS将NF3离解成反应氟基(例如,游离F原子和离子),将反应氟基传送到基板处理室中,以便所述反应氟基与已经累积在沉积室中的室侧壁和其它暴露表面上的残余沉积材料(例如,氧化硅)反应。通常将RPS系统安装在沉积室的外表面上(例如,所述室的顶部),所述RPS系统使活化清洁气体流入到所述室中。
活化清洁气体可以包括活化源NF3气体,可选地,可以向所述活化源NF3气体添加诸如氦或氩(Ar)的载气。通常由RPS的结构限制活化清洁气体从RPS流入到沉积室中的速率。例如,将由MKS Instruments Inc.(仪器有限公司)制造的ASTRONe RPS设定在4.0SLM流量,而将ASTRONex RPS系统设定在6.0SLM流量。为了保持清洁气体流在室内循环,保持前级管路的开放,以便将沉积室连接到排气(例如,干式)泵。由干式泵抽取的真空使清洁气体通过前级管路从所述室排出。
在由Applied Materials(应用材料)公司制造的300mm Ultima HDP-CVD室中,将前级管路的排出清洁气体的部分耦合到在所述室与低真空泵之间运行的单一端口。所述端口是固定尺寸的,并且具有有限的流量,不能在没有室压增加的情况下,容纳清洁气体超过某个点的增加输入流。因此,当与300mmUltima室一起使用上述ASTRON RPS设备时,活化清洁气体的流速通常是在2到4.5每分钟标准公升(SLM)之间的范围内。在这种流速下,可以容易地将室压保持在用于有效清洁的理想范围内。当更高流量RPS设备可以产生在10到15SLM的范围内的活化清洁气体流时,单端口前级管路(foreline)不能以足够快的速率去除气体,同时室压升高到理想范围之上,导致活化清洁气体的清洁效率的降低。例如,当清洁气体压力上升到大约9Torr之上时,与较低室压相比,使用更多的气体并且实际上清洁速率降低。清洁气体的输入流速方面的这种限制导致更长的室清洁时间并降低生产量或生产力。
发明概述
考虑到上述方面,发明人已经认识到对于当提高输入流速时能够将沉积室中的清洁气体压力维持在最佳水平的新型前级管路设计的需求。本发明的实施例包括在前级管路中使用用于从室中去除失效清洁气体的多个端口(例如,两个或多个端口)的改进前级管路设计。
根据本发明的一个实施例,提供了一种用于净化来自基板处理室的流体的多端口排气前级管路,其中所述前级管路包括独立耦合到所述室的第一、第二和第三端口。将所述第一、第二和第三端口流体耦合到一起并且合并到操作耦合到出口真空的单端口。在一个特定实施例中,所述三个端口相交于具有耦合到所述出口真空的第四端口的十字头。
根据另一个实施例,提供了一种基板处理室,所述基板处理室包括具有在室内的基板处理区域的基板处理室;具有定位在所述基板处理室内的基板接收表面的基板支架,用于在所述室的所述基板处理区域中基板处理期间固定基板;以及排气系统,所述排气系统包括涡轮分子泵、可以关闭以便将所述涡轮分子泵与所述室流体隔离的闸门阀和流体耦合到排气前级管路的第一和第二排气通道。所述第一排气通道包括耦合到定位在所述基板处理系统上的端口的第一管道,用于将通过所述涡轮分子泵从所述基板处理室抽出的气体排放到所述排气前级管路中。所述第二排气通道至少包括耦合到定位在所述基板处理系统上的第二和第三界面端口的第二和第三管道,用于当关闭闸门阀以便将所述涡轮分子泵与所述室流体隔离时,将来自所述基板处理室的气体排放到所述排气前级管路中。在所述基板处理系统的某些实施例中,所述第二排气通道包括耦合到布置在所述基板处理系统上的第四界面端口的第四管道,用于当关闭闸门阀以便将所述涡轮分子泵与所述室流体隔离时,将来自所述基板处理室的气体排放到所述排气前级管路中。同样,在某些实施例中,将所述第二、第三和第四界面端口基本定位在相同水平平面中并接近90度地彼此分隔,且定位在所述基板支架的下面。所述基板处理系统可以包括在所述第一、第二、第三和第四管道的下游操作耦合到所述排气前级管路的低真空泵,以及操作耦合到在所述第二、第三和第四管道与所述低真空泵之间的所述第二气体通道中的第二节流阀。
在一个实施例中,提供了一种清洁基板处理室的方法。所述方法将活化清洁气体混合物引入到所述室中;至少使所述清洁气体的一部分与所述室中的残余材料反应;和通过耦合在一起并操作耦合到真空泵的多个排气端口从所述反应室中去除所述清洁混合物。所述清洁气体混合物是从在所述室外部在远程等离子体系统中形成的等离子体产生的含氟化合物。在一个特定实施例中,所述清洁气体混合物包括三氟化氮,并且所述活化清洁气体包括氟离子和氟游离基。
在另一个实施例中,提供了一种操作基板处理系统的方法,所述基板处理系统具有基板处理室和包括涡轮分子泵、可以关闭以便将所述涡轮分子泵与所述基板处理室流体隔离的闸门阀和流体耦合到排气前级管路的第一和第二排气通道的排气系统。所述方法包括(i)将基板传递到所述室中,在将所述基板放置在所述室内的同时通过将一种或几种处理气体引入到所述室中在所述基板上执行基板处理操作,使用所述涡轮分子泵通过在所述涡轮分子泵下游的第一端口处流体耦合到所述室的第一排气通道将来自所述室的所述一种或几种处理气体排放到所述排气前级管路中,其中在所述基板处理操作期间多余残余材料累积在所述基板处理室的内部表面上;和(ii)将所述基板传递出所述室,关闭所述闸门阀以便将所述涡轮分子泵与所述室流体隔离,通过将活化清洁气体混合物引入到所述室中执行室清洁操作,至少使所述清洁混合物的一部分与所述残余材料反应,通过至少具有在所述涡轮分子泵上游流体耦合到所述室的第二和第三端口的第二排气通道从所述室中去除所述清洁气体混合物。在一个实施例中,所述第二排气通道还包括在所述涡轮分子泵上游流体耦合到所述室的第四端口。
在一个特定实施例中,本发明使用在常规半导体沉积室的节流体上可用的三个真空界面端口。将在涡轮分子泵上游的所述三个端口流体耦合到一起并流体耦合到所述排气前级管路,以便允许提高抽气能力而不需要现有室的重要和昂贵的再设计。本发明的实施例还允许将现有室从单端口前级管路设计改进成两个或三个端口的前级管路设计,以便提高所述现有室的抽气能力。
在下面的描述中将部分地阐述附加实施例和特征,基于对说明书的分析其余部分对于本领域的技术人员将是显而易见的,或者可以通过本发明的实践而获得。可以通过说明书中描述的手段、组合及方法实现和获得本发明的特征和优点。
附图简要描述
参考说明书的其余部分和附图可以实现对本发明的本质及优点的进一步理解,在几个附图中使用相似参考数字表示相似组分。在某些示例中,与参考数字关联并跟随连字符的下标表示多个相似部件中的一个。当参考没有说明存在下标的参考数字时,意指全部这种多个相似部件。
图1示出在室清洁操作期间将沉积室流体耦合到出口泵的常规单端口前级管路;
图2示出根据本发明的一个实施例的前级管路的简化示意图;
图3示出根据本发明的另一个实施例的前级管路的简化示意图;以及
图4示出安装了根据本发明的一个实施例的前级管路的HDP-CVD室的简化透视图。
具体实施方式
图1是高密度等离子体化学气相沉积(HDP-CVD)系统10的简化横截面图,在所述系统10中可以使用根据本发明的技术,以便在室清洁操作期间提高系统10的抽气能力。除了其它元件之外,CVD系统10包括室体12、基板支架14(例如,静电卡盘)、气体喷嘴16、18、室圆盖20、远程等离子体清洁系统22和真空系统24。室体12、圆盖20和基板支架14组合确定处理区域15,在诸如化学气相沉积操作的基板处理操作期间基板2被放置在所述处理区域中。为了方便,已经从图1中忽略了系统10的与本发明没有直接关系的许多部件,并且在这里不进行讨论。例如,系统10包括向气体喷嘴16、18输送处理气体的气体分布系统,以及耦合到所述室的用于提供能量以在所述室内由引入到所述室的所述处理气体形成等离子体的源和偏置等离子体系统。
真空系统24包括体构件26和节流体28,所述体构件26形成室12的下部并将所述室连接到所述真空系统,所述节流体28包含三叶片节流阀30并附着到闸门阀32及涡轮分子泵34,在基板处理操作期间闸门阀32及涡轮分子泵34允许精确且稳定地控制低到大约1mTorr的室压。闸门阀32可以将涡轮分子泵34与节流体28及处理区域15隔离。
真空系统24还包括附加隔离阀40和42、终点探测器44、附加节流阀46和低真空泵48。在基板处理操作期间,在开启闸门阀32和隔离阀42的同时关闭隔离阀40。通过端口52和气体管道50a将气体排放到前级管路50中。在基板处理操作期间由节流阀30控制压力。在室清洁操作期间,在开启阀门40的同时关闭闸门阀32和隔离阀42。通过端口54和气体管道50b将清洁气体排放到前级管路50中。在室清洁操作期间的压力由节流阀46控制。气体管道50a和50b是气体前级管路50的一部分。
在诸如由应用材料(Applied Materials)公司制造的某些Ultima HDP-CVD室的某些CVD室10中,室体12、体构件26及节流体28被焊接在一起,以便形成整体机架。在所述机架上的端口54是固定尺寸的,并且可以通过适当零件将所述端口54耦合到所述前级管路。所述机架还包括图1中未示出的两个附加端口(在图2中作为端口56、58示出)。将这些附加端口56、58定位在室10上大约与端口54相同的高度(因而基本在相同水平面),但是相对端口54左侧和右侧成90度地放置,并且由此分别朝向和远离附图页面。端口54、56、58的每一个位于闸门阀32和涡轮分子泵34的上游(相对在基板处理和室清洁操作期间气体流入和流出所述室)。
通常将这些附加端口用于将诸如压力计的装置或氦气净化耦合到室10。然而,在本发明的一个实施例中,使用适当零件将这些附加端口56、58直接耦合到所述前级管路,以便在室清洁操作期间提供到所述前级管路的除了通过端口54的路径之外的气体流动路径,并由此在室清洁操作期间提高室10的抽气能力。
图2示出根据本发明的一个实施例的前级管路60的简化示意图。可以取代图1中的前级管路部分50b的前级管路60包括分别耦合到诸如室10的基板处理室上的三个端口54、56、58的气体管道区段62、64、66。区段62、64、66在十字头68处交叉并流体耦合到一起,可以将十字头68用于将在图2中所示的所述前级管路的部分耦合到所述前级管路的其余部分和耦合到低真空泵48。在十字头68与端口54、56、58的每一个之间分别设置单独的隔离阀70、71和72。在另一个实施例中,如图3所示,取代为耦合到端口54、56、58的所述前级管路的每个区段使用单独隔离阀70-72,在十字头68与低真空泵48之间设置单一隔离阀74。另外,在图2和图3所示的每个实施例中,可以在十字头68与低真空泵48之间将终点探测器44和节流阀46操作耦合到所述前级管路。
在某些Ultima HDP-CVD室中,中心端口(端口54)具有直径比侧面端口(端口56、58)大的开口。因此,当结合这种室使用图2和图3所示的本发明实施例时,在连接到所述室的点处,用于将区段64和66连接到所述室的不同连接器将具有比中心连接器62的直径更小的直径。在一个实施例中,十字头68使用相同尺寸的连接器连接到所述三个前级管路区段62、64和66的每一个,所述连接器的直径也与用于连接到端口54的连接器相同。因此,在该实施例中,为了确保沿所述前级管路的每个部分及整体长度的适当配合及气体紧密密封,可以将不同适配器用于改变区段64、66的直径。本领域技术人员将认识到,本发明不限于用于产生图2和3所示的构造或本发明的其它构造的任何特定零件。另外,本领域技术人员将认识到,这种零件取决于设计选择,并且这种零件可以包括多个区段、导管、阀门、连接器、夹具、o型环和产生特定室所需配置所必须的其它零件。
图4是安装了根据本发明的一个实施例的前级管路60的半导体制造系统100的简化透视图。例如,系统100可以是300mm Ultima HDP-CVD沉积室或另一种类型的室。系统100可以被用于在基板晶片上沉积和/或蚀刻电介质薄膜(诸如氧化硅、氮氧化硅、氮化硅等等)。
如图4所示,将前级管路60耦合到在靠近所述室底部接近节流阀高度的并彼此成90°角取向的三个输出端口。使用KF50大小的连接器将所述室的第一界面端口54耦合到所述前级管路的第一区段。使用KF25大小的连接器分别将相对第一端口54成90°角的第二和第三室界面端口56、58耦合到所述前级管路的第二和第三区段。在该实施例中,所述三个前级管路端口交叉于十字头68,十字头68具有耦合到截流阀74的第四端口,在基板处理操作期间截流阀74可以将所述前级管路的区段62、64和66与流过阀门42及所述前级管路的下区段的气体隔离。在图4中还示出了波纹管102,波纹管102允许在一定程度上调节所述前级管路的不同区段相对彼此的位置,能够实现所述前级管路的便于安装和更好配合。
图4所示系统的实施例包括导管区段104,在某些实施例中用诸如图1所示的探测器44的终点探测器(EPD)取代导管区段104。这种EPD探测在何时所述室中清洁气体的压力足够低以指示清洁循环或处理的终点。也将节流阀46放置在区段104下游的所述前级管路中,但是可以容易地将所述节流阀46放置在区段104的上游,或沿在连接器68与所述低真空泵之间的所述前级管路的其它位置。
如先前所述,如上所述的多端口前级管路在室清洁操作期间能够提供增加的抽气能力,在室清洁期间允许提高活化清洁气体的流动。例如,当使用如参考图4描述的在所述涡轮分子泵上游的三端口前级管路改进300mm UltimaHDP-CVD室时,对于高流速RPS,所述系统具有足够的抽气能力,能够在将室压保持在低于9Torr的同时以在10-15SLM之间的速率将活化清洁气体引入到所述室中,尤其是能够在所述活化清洁气体的15SLM流速下将室压保持在6Torr。发明人还已经发现,在室清洁操作期间所述多端口前级管路提供改进的清洁均匀性。相信所述改进均匀性至少部分是由于从在所述室体内部周界的180度之上彼此分隔的多个端口抽取清洁气体。
参考已经描述的几个实施例,本领域技术人员将认识到,在不偏离本发明的精神的条件下可以使用不同修改、替代构建和等同物。例如,图2至图4的每一个公开了为用于排放清洁气体的所述前级管路添加两个附加端口,本发明的某些实施例可以仅使用所述两个附加端口中的一个,保留第三个端口用于诸如所述压力计或氦气供应的连接的其它目的。作为另一个示例,虽然主要参考HDP-CVD室描述了本发明,也可以将所述HDP-CVD室与其它类型的沉积室以及蚀刻室和其它室一起使用。另外,为了避免不必要地模糊本发明,没有描述大量的众所周知的处理和元件。因此,不能认为上述描述限制了本发明的范围。
如说明书及权利要求中所用的,单数形式“一”、“一个”和“这个”包括复数指示物,除非文中明确地表示其它意思。因此,例如,提及“一个处理”包括多个这种处理而提及“这个电极”包括一个或几个电极及本领域技术人员所熟知的等同物,等等。
同样,说明书及权利要求中所用的词语“包含”、“包括”意在详细说明所述特征、整数、部件或步骤的存在,但是它们不能排除一个或几个其它特征、整数、部件、步骤、动作或组的存在或附加。
Claims (15)
1.一种基板处理系统,所述基板处理系统包括:
基板处理室,具有在室内的基板处理区域;
基板支架,具有定位在所述基板处理室内的基板接收表面,用于在所述室的所述基板处理区域中的基板处理期间固定基板;以及
排气系统,所述排气系统包括涡轮分子泵、可以关闭以便将所述涡轮分子泵与所述室流体隔离的闸门阀、以及流体耦合到排气前级管路的第一排气通道和第二排气通道;
其中所述第一排气通道包括耦合到定位在所述基板处理系统上的第一排气端口的第一管道,用于将通过所述涡轮分子泵从所述基板处理室抽出的气体排放到所述排气前级管路中;
其中所述第二排气通道包括耦合到定位在所述基板处理系统上的第二和第三排气端口的第二和第三管道,用于当关闭所述闸门阀以便将所述涡轮分子泵与所述室流体隔离时将来自所述基板处理室的气体排放到所述排气前级管路中;以及
其中所述排气前级管路包括独立耦合到所述室的所述第一、第二和第三排气端口。
2.如权利要求1所述的基板处理系统,其中所述第二排气通道包括耦合到定位在所述基板处理系统上的第四排气端口的第四管道,用于当关闭所述闸门阀以便将所述涡轮分子泵与所述室流体隔离时将来自所述基板处理室的气体排放到所述排气前级管路中。
3.如权利要求2所述的基板处理系统,其中所述第二、第三和第四排气端口基本定位在相同水平平面中并且接近90度地彼此分隔。
4.如权利要求3所述的基板处理系统,其中在单十字头处将所述第二、第三和第四管道流体耦合到一起。
5.如权利要求3所述的基板处理系统,其中所述排气系统还包括低真空泵,所述低真空泵操作耦合到在所述第一、第二、第三和第四管道下游的所述排气前级管路。
6.如权利要求5所述的基板处理系统,所述基板处理系统还包括操作耦合到在所述第二、第三和第四管道与所述低真空泵之间的所述第二排气通道中的第二节流阀。
7.如权利要求3所述的基板处理系统,其中将所述第一、第二、第三和第四排气端口定位在所述基板支架的下面。
8.一种清洁基板处理室的方法,所述方法包括:
将活化清洁气体混合物引入到所述室中,其中所述清洁气体混合物包含暴露于所述室外部的等离子体的含氟化合物;
至少使所述清洁气体混合物的一部分与所述室中的残余材料反应;
通过流体耦合在一起的排气前级管路第一、第二和第三排气端口从所述反应室中去除所述清洁气体混合物,并且所述排气前级管路还包括第四排气端口,且所述第一、第二、第三和第四排气端口在单十字头处操作耦合到低真空泵,其中所述第一、第二和第三排气端口独立耦合到所述室。
9.如权利要求8所述的方法,其中通过将含氟化合物暴露于远程等离子体系统中的远程等离子体来活化所述含氟化合物。
10.如权利要求8所述的方法,其中所述清洁气体混合物包含NF3,而所述活化清洁气体包含氟离子和氟游离基。
11.如权利要求9所述的方法,其中以在10-15SLM之间的流速将所述活化清洁气体混合物引入到所述室中,同时将室压保持在低于9Torr。
12.一种操作基板处理系统的方法,所述基板处理系统具有基板处理室和排气系统,所述排气系统包括涡轮分子泵、闸门阀以及第一和第二排气通道,所述闸门阀可以关闭以便将所述涡轮分子泵与所述基板处理室流体隔离,所述第一和第二排气通道流体耦合到排气前级管路,所述方法包括:
将基板传递到所述室中,在将所述基板放置在所述室内的同时通过将一种或几种处理气体引入到所述室中在所述基板上执行基板处理操作,使用所述涡轮分子泵通过在所述涡轮分子泵下游的第一排气端口处流体耦合到所述室的第一排气通道将来自所述室的所述一种或几种处理气体排放到所述排气前级管路中,其中在所述基板处理操作期间多余残余材料累积在所述基板处理室的内部表面上;以及
将所述基板传递出所述室,关闭所述闸门阀以便将所述涡轮分子泵与所述室流体隔离,通过将活化清洁气体混合物引入到所述室中来执行室清洁操作,至少使所述清洁气体混合物的一部分与所述残余材料反应,以及通过具有在所述涡轮分子泵上游流体耦合到所述室的第二和第三排气端口的第二排气通道从所述室中去除所述清洁气体混合物,其中所述排气前级管路包括独立耦合到所述室的所述第一、第二和第三排气端口。
13.如权利要求12所述的方法,其中所述第二排气通道包括在所述涡轮分子泵上游流体耦合到所述室的第四排气端口。
14.如权利要求13所述的方法,其中去除所述活化清洁气体混合物包括从所述室中去除在操作耦合到所述室的远程等离子体系统中产生的氟离子和氟游离基。
15.如权利要求14所述的方法,其中由NF3产生所述氟离子和氟游离基。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US98633207P | 2007-11-08 | 2007-11-08 | |
US60/986,332 | 2007-11-08 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101429651A CN101429651A (zh) | 2009-05-13 |
CN101429651B true CN101429651B (zh) | 2013-04-24 |
Family
ID=40170035
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2008101754829A Expired - Fee Related CN101429651B (zh) | 2007-11-08 | 2008-11-10 | 用于基板处理室的多端口抽气系统 |
Country Status (7)
Country | Link |
---|---|
US (1) | US7964040B2 (zh) |
EP (1) | EP2058843A3 (zh) |
JP (1) | JP2009117844A (zh) |
KR (2) | KR101011097B1 (zh) |
CN (1) | CN101429651B (zh) |
SG (1) | SG152213A1 (zh) |
TW (1) | TW200936886A (zh) |
Families Citing this family (154)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20090120368A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Rotating temperature controlled substrate pedestal for film uniformity |
US20090120584A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Counter-balanced substrate support |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US7967913B2 (en) * | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
WO2010123707A2 (en) * | 2009-04-20 | 2010-10-28 | Applied Materials, Inc. | Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls |
US8623141B2 (en) * | 2009-05-18 | 2014-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Piping system and control for semiconductor processing |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
CN102383106B (zh) * | 2010-09-03 | 2013-12-25 | 甘志银 | 快速清除残余反应气体的金属有机物化学气相沉积反应腔体 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102732858A (zh) * | 2011-03-29 | 2012-10-17 | 绿种子能源科技股份有限公司 | 多腔体薄膜沉积装置及其抽气模块 |
US9418880B2 (en) * | 2011-06-30 | 2016-08-16 | Semes Co., Ltd. | Apparatuses and methods for treating substrate |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130237063A1 (en) * | 2012-03-09 | 2013-09-12 | Seshasayee Varadarajan | Split pumping method, apparatus, and system |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20140311581A1 (en) * | 2013-04-19 | 2014-10-23 | Applied Materials, Inc. | Pressure controller configuration for semiconductor processing applications |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9502242B2 (en) * | 2014-02-05 | 2016-11-22 | Applied Materials, Inc. | Indium gallium zinc oxide layers for thin film transistors |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP6014630B2 (ja) * | 2014-06-30 | 2016-10-25 | 株式会社Ihi | 洗浄装置 |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
CN104297423B (zh) * | 2014-09-23 | 2015-12-02 | 京东方科技集团股份有限公司 | 检测装置和检测方法 |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10535506B2 (en) | 2016-01-13 | 2020-01-14 | Mks Instruments, Inc. | Method and apparatus for deposition cleaning in a pumping line |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10559451B2 (en) * | 2017-02-15 | 2020-02-11 | Applied Materials, Inc. | Apparatus with concentric pumping for multiple pressure regimes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
WO2020069206A1 (en) | 2018-09-28 | 2020-04-02 | Lam Research Corporation | Vacuum pump protection against deposition byproduct buildup |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11211232B2 (en) * | 2018-10-29 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for cleaning semiconductor device manufacturing apparatus |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN112080733A (zh) * | 2019-06-14 | 2020-12-15 | 东泰高科装备科技有限公司 | 尾气排放装置及真空镀膜系统 |
US11631571B2 (en) * | 2019-08-12 | 2023-04-18 | Kurt J. Lesker Company | Ultra high purity conditions for atomic scale processing |
US20210404059A1 (en) * | 2020-06-26 | 2021-12-30 | Applied Materials, Inc. | Processing system and method of controlling conductance in a processing system |
US11745229B2 (en) | 2020-08-11 | 2023-09-05 | Mks Instruments, Inc. | Endpoint detection of deposition cleaning in a pumping line and a processing chamber |
US12060637B2 (en) * | 2020-12-01 | 2024-08-13 | Applied Materials, Inc. | Actively cooled foreline trap to reduce throttle valve drift |
US11664197B2 (en) | 2021-08-02 | 2023-05-30 | Mks Instruments, Inc. | Method and apparatus for plasma generation |
KR20240073998A (ko) * | 2021-10-12 | 2024-05-27 | 램 리써치 코포레이션 | 암모니아/염소 화학 물질 반도체 프로세싱을 위한 장치들 및 시스템들 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1446373A (zh) * | 2000-08-11 | 2003-10-01 | 东京毅力科创株式会社 | 基片处理装置及处理方法 |
CN1470337A (zh) * | 2002-06-28 | 2004-01-28 | 大日本屏影象制造株式会社 | 基板处理装置及基板清洗方法 |
CN1830072A (zh) * | 2003-09-03 | 2006-09-06 | 东京毅力科创株式会社 | 气体处理装置和散热方法 |
Family Cites Families (102)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4147571A (en) * | 1977-07-11 | 1979-04-03 | Hewlett-Packard Company | Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system |
US4902531A (en) * | 1986-10-30 | 1990-02-20 | Nihon Shinku Gijutsu Kabushiki Kaisha | Vacuum processing method and apparatus |
US4848400A (en) * | 1988-02-19 | 1989-07-18 | Fsi International, Inc. | Rotary fluid coupling |
JPH01305524A (ja) * | 1988-06-03 | 1989-12-08 | Nec Corp | プラズマcvd装置 |
JPH0362514A (ja) * | 1989-07-31 | 1991-03-18 | Babcock Hitachi Kk | 気相成長装置 |
US5081069A (en) * | 1989-12-26 | 1992-01-14 | Texas Instruments Incorporated | Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion |
US5016332A (en) * | 1990-04-13 | 1991-05-21 | Branson International Plasma Corporation | Plasma reactor and process with wafer temperature control |
US5148714A (en) * | 1990-10-24 | 1992-09-22 | Ag Processing Technology, Inc. | Rotary/linear actuator for closed chamber, and reaction chamber utilizing same |
JPH04329626A (ja) * | 1991-05-02 | 1992-11-18 | Matsushita Electron Corp | 半導体素子の製造装置 |
US5436172A (en) * | 1991-05-20 | 1995-07-25 | Texas Instruments Incorporated | Real-time multi-zone semiconductor wafer temperature and process uniformity control system |
JPH0521393A (ja) * | 1991-07-11 | 1993-01-29 | Sony Corp | プラズマ処理装置 |
JPH05306468A (ja) * | 1992-04-30 | 1993-11-19 | Nec Yamaguchi Ltd | プラズマ気相成長装置 |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
US5444217A (en) * | 1993-01-21 | 1995-08-22 | Moore Epitaxial Inc. | Rapid thermal processing apparatus for processing semiconductor wafers |
US5443647A (en) * | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
JPH0758036A (ja) * | 1993-08-16 | 1995-03-03 | Ebara Corp | 薄膜形成装置 |
US5587014A (en) * | 1993-12-22 | 1996-12-24 | Sumitomo Chemical Company, Limited | Method for manufacturing group III-V compound semiconductor crystals |
US5679152A (en) * | 1994-01-27 | 1997-10-21 | Advanced Technology Materials, Inc. | Method of making a single crystals Ga*N article |
TW254030B (en) * | 1994-03-18 | 1995-08-11 | Anelva Corp | Mechanic escape mechanism for substrate |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
JP3122601B2 (ja) | 1995-06-15 | 2001-01-09 | 東京エレクトロン株式会社 | プラズマ成膜方法及びその装置 |
JPH09237785A (ja) | 1995-12-28 | 1997-09-09 | Toshiba Corp | 半導体装置およびその製造方法 |
US6070551A (en) | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
DE19629705A1 (de) * | 1996-07-24 | 1998-01-29 | Joachim Dr Scheerer | Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium |
KR100204793B1 (ko) | 1996-08-24 | 1999-06-15 | 문정환 | 반도체 제조장비의 식각장치 |
US5873781A (en) * | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
US6673673B1 (en) * | 1997-04-22 | 2004-01-06 | Samsung Electronics Co., Ltd. | Method for manufacturing a semiconductor device having hemispherical grains |
US6079426A (en) * | 1997-07-02 | 2000-06-27 | Applied Materials, Inc. | Method and apparatus for determining the endpoint in a plasma cleaning process |
US6321680B2 (en) * | 1997-08-11 | 2001-11-27 | Torrex Equipment Corporation | Vertical plasma enhanced process apparatus and method |
US6017437A (en) * | 1997-08-22 | 2000-01-25 | Cutek Research, Inc. | Process chamber and method for depositing and/or removing material on a substrate |
US6024044A (en) * | 1997-10-09 | 2000-02-15 | Applied Komatsu Technology, Inc. | Dual frequency excitation of plasma for film deposition |
US6009830A (en) * | 1997-11-21 | 2000-01-04 | Applied Materials Inc. | Independent gas feeds in a plasma reactor |
US6068884A (en) * | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6302964B1 (en) * | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100538865B1 (ko) | 1998-07-03 | 2005-12-23 | 동경 엘렉트론 주식회사 | 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법 |
US6182603B1 (en) * | 1998-07-13 | 2001-02-06 | Applied Komatsu Technology, Inc. | Surface-treated shower head for use in a substrate processing chamber |
US6406677B1 (en) * | 1998-07-22 | 2002-06-18 | Eltron Research, Inc. | Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements |
US6248222B1 (en) * | 1998-09-08 | 2001-06-19 | Acm Research, Inc. | Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces |
US6197658B1 (en) * | 1998-10-30 | 2001-03-06 | Taiwan Semiconductor Manufacturing Company | Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity |
US7077159B1 (en) * | 1998-12-23 | 2006-07-18 | Applied Materials, Inc. | Processing apparatus having integrated pumping system |
US6290774B1 (en) * | 1999-05-07 | 2001-09-18 | Cbl Technology, Inc. | Sequential hydride vapor phase epitaxy |
US6812157B1 (en) * | 1999-06-24 | 2004-11-02 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
US6383954B1 (en) * | 1999-07-27 | 2002-05-07 | Applied Materials, Inc. | Process gas distribution for forming stable fluorine-doped silicate glass and other films |
US6673216B2 (en) * | 1999-08-31 | 2004-01-06 | Semitool, Inc. | Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing |
JP2001144325A (ja) * | 1999-11-12 | 2001-05-25 | Sony Corp | 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法 |
FI118804B (fi) * | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
CN1319130C (zh) * | 1999-12-24 | 2007-05-30 | 株式会社荏原制作所 | 半导体基片处理装置及处理方法 |
US6461980B1 (en) * | 2000-01-28 | 2002-10-08 | Applied Materials, Inc. | Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber |
NL1014274C2 (nl) * | 2000-02-03 | 2001-08-16 | Tele Atlas Bv | Stelsel voor het beveiligen van op een datadrager aanwezige data. |
WO2001073159A1 (fr) * | 2000-03-27 | 2001-10-04 | Mitsubishi Heavy Industries, Ltd. | Procede et appareil permettant de former un film metallique |
US6387207B1 (en) * | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6450117B1 (en) * | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
KR100458982B1 (ko) | 2000-08-09 | 2004-12-03 | 주성엔지니어링(주) | 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법 |
US6614181B1 (en) * | 2000-08-23 | 2003-09-02 | Applied Materials, Inc. | UV radiation source for densification of CVD carbon-doped silicon oxide films |
US6689221B2 (en) * | 2000-12-04 | 2004-02-10 | Applied Materials, Inc. | Cooling gas delivery system for a rotatable semiconductor substrate support assembly |
US6660662B2 (en) * | 2001-01-26 | 2003-12-09 | Applied Materials, Inc. | Method of reducing plasma charge damage for plasma processes |
US6696362B2 (en) * | 2001-02-08 | 2004-02-24 | Applied Materials Inc. | Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes |
US6935466B2 (en) * | 2001-03-01 | 2005-08-30 | Applied Materials, Inc. | Lift pin alignment and operation methods and apparatus |
US6447651B1 (en) * | 2001-03-07 | 2002-09-10 | Applied Materials, Inc. | High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers |
US6528332B2 (en) * | 2001-04-27 | 2003-03-04 | Advanced Micro Devices, Inc. | Method and system for reducing polymer build up during plasma etch of an intermetal dielectric |
US6596653B2 (en) * | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US20020185067A1 (en) * | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
US6902623B2 (en) * | 2001-06-07 | 2005-06-07 | Veeco Instruments Inc. | Reactor having a movable shutter |
US6548416B2 (en) * | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
US20030064154A1 (en) * | 2001-08-06 | 2003-04-03 | Laxman Ravi K. | Low-K dielectric thin films and chemical vapor deposition method of making same |
US6720263B2 (en) * | 2001-10-16 | 2004-04-13 | Applied Materials Inc. | Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection |
US6634650B2 (en) * | 2001-11-16 | 2003-10-21 | Applied Materials, Inc. | Rotary vacuum-chuck with water-assisted labyrinth seal |
US6770521B2 (en) * | 2001-11-30 | 2004-08-03 | Texas Instruments Incorporated | Method of making multiple work function gates by implanting metals with metallic alloying additives |
US6794290B1 (en) * | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
NL1019781C2 (nl) | 2002-01-18 | 2003-07-21 | Tno | Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan. |
JP3778432B2 (ja) * | 2002-01-23 | 2006-05-24 | 東京エレクトロン株式会社 | 基板処理方法および装置、半導体装置の製造装置 |
AU2003238853A1 (en) * | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6793733B2 (en) * | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
TWI283899B (en) * | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US6900881B2 (en) * | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US7018555B2 (en) * | 2002-07-26 | 2006-03-28 | Dainippon Screen Mfg. Co., Ltd. | Substrate treatment method and substrate treatment apparatus |
US7080528B2 (en) * | 2002-10-23 | 2006-07-25 | Applied Materials, Inc. | Method of forming a phosphorus doped optical core using a PECVD process |
US6900067B2 (en) * | 2002-12-11 | 2005-05-31 | Lumileds Lighting U.S., Llc | Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers |
JP4303484B2 (ja) * | 2003-01-21 | 2009-07-29 | 大日本スクリーン製造株式会社 | メッキ装置 |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7500445B2 (en) | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US6884685B2 (en) * | 2003-02-14 | 2005-04-26 | Freescale Semiconductors, Inc. | Radical oxidation and/or nitridation during metal oxide layer deposition process |
US7098149B2 (en) * | 2003-03-04 | 2006-08-29 | Air Products And Chemicals, Inc. | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US6867086B1 (en) * | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
US6830624B2 (en) * | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US6958112B2 (en) * | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
US7488693B2 (en) | 2004-02-17 | 2009-02-10 | Toagosei Co., Ltd. | Method for producing silicon oxide film |
US7109114B2 (en) * | 2004-05-07 | 2006-09-19 | Applied Materials, Inc. | HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance |
US7183227B1 (en) * | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
WO2006014034A1 (en) | 2004-08-04 | 2006-02-09 | Industry-University Cooperation Foundation Hanyang University | Remote plasma atomic layer deposition apparatus and method using dc bias |
US20060075967A1 (en) * | 2004-10-12 | 2006-04-13 | Applied Materials, Inc. | Magnetic-field concentration in inductively coupled plasma reactors |
KR100782369B1 (ko) * | 2004-11-11 | 2007-12-07 | 삼성전자주식회사 | 반도체 제조장치 |
KR20060103640A (ko) | 2005-03-28 | 2006-10-04 | 삼성전자주식회사 | 반도체 제조장치 |
US20060251499A1 (en) * | 2005-05-09 | 2006-11-09 | Lunday Andrew P | Linear substrate delivery system with intermediate carousel |
US20070119371A1 (en) * | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
KR101061945B1 (ko) | 2005-11-24 | 2011-09-05 | 도쿄엘렉트론가부시키가이샤 | 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체 |
TW200739710A (en) * | 2006-04-11 | 2007-10-16 | Dainippon Screen Mfg | Substrate processing method and substrate processing apparatus |
EP2024532A4 (en) | 2006-05-30 | 2014-08-06 | Applied Materials Inc | HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070277734A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
JP2007324154A (ja) | 2006-05-30 | 2007-12-13 | Matsushita Electric Ind Co Ltd | プラズマ処理装置 |
US20090120584A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Counter-balanced substrate support |
-
2008
- 2008-11-05 US US12/265,641 patent/US7964040B2/en not_active Expired - Fee Related
- 2008-11-06 SG SG200808262-0A patent/SG152213A1/en unknown
- 2008-11-07 JP JP2008287017A patent/JP2009117844A/ja active Pending
- 2008-11-07 TW TW97143182A patent/TW200936886A/zh unknown
- 2008-11-07 KR KR1020080110390A patent/KR101011097B1/ko not_active IP Right Cessation
- 2008-11-10 CN CN2008101754829A patent/CN101429651B/zh not_active Expired - Fee Related
- 2008-11-10 EP EP20080168784 patent/EP2058843A3/en not_active Withdrawn
-
2010
- 2010-09-27 KR KR1020100093185A patent/KR101135811B1/ko active IP Right Grant
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1446373A (zh) * | 2000-08-11 | 2003-10-01 | 东京毅力科创株式会社 | 基片处理装置及处理方法 |
CN1470337A (zh) * | 2002-06-28 | 2004-01-28 | 大日本屏影象制造株式会社 | 基板处理装置及基板清洗方法 |
CN1830072A (zh) * | 2003-09-03 | 2006-09-06 | 东京毅力科创株式会社 | 气体处理装置和散热方法 |
Non-Patent Citations (1)
Title |
---|
JP特开平9-63963A 1997.03.07 |
Also Published As
Publication number | Publication date |
---|---|
CN101429651A (zh) | 2009-05-13 |
TW200936886A (en) | 2009-09-01 |
SG152213A1 (en) | 2009-05-29 |
KR101011097B1 (ko) | 2011-01-25 |
KR20090048356A (ko) | 2009-05-13 |
EP2058843A2 (en) | 2009-05-13 |
KR101135811B1 (ko) | 2012-04-16 |
US7964040B2 (en) | 2011-06-21 |
EP2058843A3 (en) | 2012-04-18 |
US20090120464A1 (en) | 2009-05-14 |
JP2009117844A (ja) | 2009-05-28 |
KR20100121577A (ko) | 2010-11-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101429651B (zh) | 用于基板处理室的多端口抽气系统 | |
US11986868B2 (en) | System dedicated for parts cleaning | |
US10297458B2 (en) | Process window widening using coated parts in plasma etch processes | |
US7967913B2 (en) | Remote plasma clean process with cycled high and low pressure clean steps | |
KR100257305B1 (ko) | 열처리장치 및 그의 크리닝 방법 | |
US20020185067A1 (en) | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system | |
TWI677930B (zh) | 雙腔室處理系統 | |
US20140099794A1 (en) | Radical chemistry modulation and control using multiple flow pathways | |
KR20000052913A (ko) | 가스 패널 | |
CN101133186A (zh) | 用于高密度等离子体应用的高真空下的自冷却气体分配装置 | |
US20140262033A1 (en) | Gas sleeve for foreline plasma abatement system | |
TWI421369B (zh) | 氣體供應設備 | |
GB2497273A (en) | Apparatus for treating a gas stream | |
CN102089848B (zh) | 远程等离子体清洗方法和用于应用所述方法的设备 | |
CN219873400U (zh) | 具有远程等离子体源的半导体加工设备 | |
CN216585199U (zh) | 一种化学气相沉积设备 | |
CN102094186A (zh) | 气体供应设备 | |
CN114086152A (zh) | 一种化学气相沉积设备 | |
CN102347207A (zh) | 用于等离子体工艺的系统 | |
GB2622561A (en) | An abatement system for treatment of exhaust gases of a vacuum processing system | |
EP0778359A1 (en) | Reduction of particulate contamination in wafer processing | |
KR20040104040A (ko) | 화학기상증착 장치의 배기시스템 | |
KR20020065335A (ko) | 반도체 제조 장치 | |
KR20070097943A (ko) | 화학기상증착장치 | |
KR20030033674A (ko) | 반도체 제조 장비의 배기 시스템 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C53 | Correction of patent for invention or patent application | ||
CB02 | Change of applicant information |
Address after: American California Applicant after: Applied Materials Inc. Address before: American California Applicant before: Applied Materials Inc. |
|
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20130424 Termination date: 20141110 |
|
EXPY | Termination of patent right or utility model |