TWI677930B - 雙腔室處理系統 - Google Patents

雙腔室處理系統 Download PDF

Info

Publication number
TWI677930B
TWI677930B TW106139083A TW106139083A TWI677930B TW I677930 B TWI677930 B TW I677930B TW 106139083 A TW106139083 A TW 106139083A TW 106139083 A TW106139083 A TW 106139083A TW I677930 B TWI677930 B TW I677930B
Authority
TW
Taiwan
Prior art keywords
chamber
process chamber
coupled
shared
processing
Prior art date
Application number
TW106139083A
Other languages
English (en)
Other versions
TW201818496A (zh
Inventor
徐�明
Ming XU
蓋葉安德魯恩
Andrew Nguyen
李伊文斯
Evans Lee
李傑瑞阿瑪
Jared Ahmad Lee
克魯斯詹姆士P
James P. Cruse
可貝可立琳
Corie Lynn Cobb
薩理納斯馬丁傑夫
Martin Jeff Salinas
喜納安克
Anchel Sheyner
古德愛爾羅伯特
Ezra Robert Gold
雷恩約翰W
John W. Lane
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201818496A publication Critical patent/TW201818496A/zh
Application granted granted Critical
Publication of TWI677930B publication Critical patent/TWI677930B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Abstract

本發明揭示用於雙腔室處理系統之方法與設備,並且在一些實施例中,該設備可包括第一製程腔室與第二製程腔室以及複數個共享資源,該複數個共享資源介於該第一與第二製程腔室之間,該第一製程腔室與該第二製程腔室具有獨立處理空間。在一些實施例中,該等共享資源包括一共享真空泵、一共享氣體面板,或一共享熱傳送源之至少一者。

Description

雙腔室處理系統
本發明之實施例大體而言係關於基材處理系統。
處理系統,諸如具有多個製程腔室位於共享傳送腔室上的群集工具,係用來降低系統與製造成本且改善製程產能。然而,習知之製程腔室係獨立地被配備有製程來源,該製程來源需要促進製程腔室中特定製程之執行。該等系統在擁有及操作上是昂貴的。
所以,本案發明人已經發展出具有共享資源之雙腔室處理系統,具有共享資源之雙腔室處理系統可有利地降低系統成本,同時改善製程產能。
本文揭示用於雙腔室處理系統之方法與設備。在一些實施例中,本文揭示之一或更多雙腔室處理系統可耦接到傳送腔室。在一些實施例中,雙腔室處理系統包括第一製程腔室與第二製程腔室以及複數個共享資源,該複數個共享資源位於在第一與第二製程腔室之間,第一製程腔室與第二製程腔室具有獨立處理空間。在一些實施例中,該等共享資源包括共享真空泵、共享氣體面板,或共享熱傳送源之至少一者。
在一些實施例中,一種雙腔室處理系統,包含:第一製程腔室,該製程腔室具有第一真空泵以維持該第一製程腔室之第一處理空間中之第一操作壓力,並且具有第一基材支撐件,該第一基材支撐件設置在該第一製程腔室內,其中可藉由第一閘閥來將該第一處理空間選擇性地隔離,該第一閘閥設置在該第一處理空間與該第一真空泵之低壓側之間,及其中該第一基材支撐件具有一或更多通道,以循環熱傳送流體,而控制該第一基材支撐件之溫度;第二製程腔室,該第二製程腔室具有第二真空泵以維持該第二製程腔室之第二處理空間中之第二操作壓力,並且具有設置在該第二製程腔室內之第二基材支撐件,其中可藉由設置在該第二處理空間與該第二真空泵之低壓側之間的第二閘閥來將該第二處理空間選擇性地隔離,及其中該第二基材支撐件具有一或更多通道,以循環該熱傳送流體,而控制該第二基材支撐件之溫度;共享真空泵,該共享真空泵耦接到該第一與第二處理空間,以在開啟該第一與第二閘閥之前降低各個處理空間中之壓力到低於臨界壓力位準,其中該共享真空泵可與該第一製程腔室、該第二製程腔室、該第一真空泵,或該第二真空泵之任一者選擇性地隔離;共享氣體面板,該共享氣體面板耦接到該第一製程腔室與該第二製程腔室之各者,以提供一或更多製程氣體到該第一與第二製程腔室;及共享熱傳送流體源,該共享熱傳送流體源具有出口以提供熱傳送流體到該第一基材支撐件與該第二基材支撐件之各自一或更多通道,以及具有入口以接收來自該第一基材支撐件與該第二基材支撐件之熱傳送流體。
以下將敘述本發明之其他與進一步實施例。
本文係揭示用於雙腔室處理系統之方法與設備。所發明之雙腔室處理系統係有利地結合多個資源(例如共享真空泵、共享氣體面板,或諸如此類資源),以降低系統成本,同時維持雙腔室處理系統之各個腔室中的處理品質。另外,當共享資源被用在雙腔室處理系統之各個腔室之間時,所發明之方法有利地控制腔室製程的操作(諸如降低壓力、排空、淨化,或諸如此類者)。
本文揭示之雙腔室處理系統可以是群集工具(群集工具具有一些與群集工具耦接之雙腔室處理系統)之部分,諸如第1圖圖示之處理系統100。參看第1圖,在一些實施例中,處理系統100可通常包含真空密封處理平台104、工廠界面102、一或更多雙腔室處理系統101、103、105,及系統控制器144。可根據本文提供之教示進行適當變更之處理系統的實例係包括CenturaÒ 整合處理系統、PRODCERÒ 系列之處理系統的其中一者(諸如PRODCERÒ GTÔ)、ADVANTEDGEÔ處理系統,上述處理系統可從位於美國加州之聖大克勞拉市之應用材料公司獲得。可設想出的是,其他處理系統(包括來自其他製造業者的處理系統)可經調適而受益自本發明。
平台104包括一或更多雙腔室處理系統101、103、105(第1圖圖示三個),其中各個雙腔室處理系統包括兩個製程腔室(例如110與111、112與132,及120與128)。平台進一步包括至少一個負載閉鎖腔室122(第1圖圖示兩個),負載閉鎖腔室122耦接到真空基材傳送腔室136。工廠界面102經由負載閉鎖腔室122耦接到傳送腔室136。
各個雙腔室處理系統101、103、105包括獨立處理空間,該等獨立處理空間彼此隔離。各個雙腔室處理系統101、103、105可配置以在雙腔室處理系統之各個製程腔室之間共享資源(例如製程氣體供應器、真空泵、熱傳送迴路,或諸如此類資源),如以下所論述及如第2A-B圖和第3圖所示。
工廠界面102可包含至少一個停靠站108與至少一個工廠界面機器人114(第1圖圖示兩個),以促進基材的傳送。停靠站108可配置以接收一或更多前開式整合艙(FOUPs)106A-B(第1圖圖示兩個)。工廠界面機器人114可包含葉片116,葉片116設置在機器人114之一端上且配置以將基材從工廠界面102傳送到處理平台104,以為了經由負載閉鎖腔室122來進行處理。可選地,一或更多度量站118可連接到工廠界面102之終端119,以促進來自FOUPs 106A-B之基材的量測。
各個負載閉鎖腔室122可包括耦接到工廠界面102之第一埠123以及耦接到傳送腔室136之第二埠125。負載閉鎖腔室122可耦接到壓力控制系統(未圖示),壓力控制系統可抽低壓力且壓力控制系統可排空負載閉鎖腔室122,以促進基材在傳送腔室136的真空環境與工廠界面102的實質外界(例如大氣)環境之間的通過。可用在雙腔室處理系統內之適當負載閉鎖腔室122之實施例係被描述在西元2010年4月30日由Jared Ahmad Lee提出申請且標題為「Apparatus For Radial Delivery Of Gas To A Chamber And Methods Of Use Thereof」之美國臨時專利申請案第61/330,041號中。
傳送腔室136具有設置在傳送腔室136中之真空機器人130。真空機器人130可具有一或更多傳送葉片134(第1圖圖示兩個)耦接到可移動臂131。舉例而言,在雙腔室處理系統耦接到傳送腔室136的一些實施例中(如圖所示),真空機器人130可具有兩個平行葉片134,葉片134係經配置以使得真空機器人130可同時地在負載閉鎖腔室122與雙腔室處理系統的製程腔室(例如雙腔室處理系統101的製程腔室110、111)之間傳送兩個基材124、126。
各個雙腔室處理系統101、103、105的製程腔室110、111或、112、132或120、128可以是用在基材處理之任何類型之製程腔室,諸如蝕刻腔室、沉積腔室,或諸如此類者。在一些實施例中,各個雙腔室處理系統(例如雙腔室處理系統101)之製程腔室(例如製程腔室110、111)係配置以用於同樣功能(例如蝕刻)。舉例而言,在雙腔室處理系統之各個製程腔室是蝕刻腔室的實施例中,各個製程腔室可包括電漿源,例如感應式或電容式耦合電漿源、遠端電漿源,或諸如此類者。另外,雙腔室處理系統之各個製程腔室可使用含鹵素氣體(例如由共享氣體面板來提供,如以下所論述)來蝕刻設置在製程腔室中的基材(例如基材124、126)。含鹵素氣體之實例包括溴化氫(HBr)、氯(Cl2 )、四氟化碳(CF4 ),及諸如此類者。舉例而言,在蝕刻基材124、126之後,含鹵素殘餘物可能殘留在基材表面上。可藉由在負載閉鎖腔式122中的熱處理製程或藉由其他適當方式來移除含鹵素殘餘物。
另外,系統100可包括各種設備,該各種設備可用來校驗流量控制器、壓力計或延伸壓力計的壽命,其中壓力計係耦接到傳送腔室136以及任一製程腔室110、111、112、132、120、128中的任一者或兩者。舉例而言,參考壓力計150可選擇性地耦接到傳送腔室136與製程腔室110、111、112、132、120、128的任一者或兩者(第1圖僅圖示耦接到腔室112、132)。參考壓力計150可用以校驗一或更多耦接到各個製程腔室之個別壓力計(諸如各自耦接到製程腔室112、132之壓力計113、133)之任何一或多者。可用在基材處理系統(諸如基材處理系統100)中之用以校正壓力計之方法與設備之適當實施例的實例係被描述在西元2010年4月30日由James P. Cruse提出申請且標題為「System And Method For Calibrating Pressure Gauges In A Substrate Processing System」之美國臨時專利申請案第61/330,058號中。可用以延伸壓力計(諸如壓力計113、133)之壽命之適當方法與設備的實例係被描述在西元2010年4月30日由James P. Cruse提出申請且標題為「Methods For Limiting The Lifetime Of Pressure Gauges Coupled To Substrate Process Chambers」之美國臨時專利申請案第61/330,027號中。
可耦接到傳送腔室136以及一或更多製程腔室110、111、112、132、120、128之任一者或兩者的其他設備可包括質流校驗器155,質流校驗器155用以校驗來自流量控制器、孔口或諸如此類者之流量,而將到任何一或更多製程腔室以及傳送腔室136之製程氣體之流動予以計量。舉例而言,質流校驗器155可將流動系統耦接到雙腔室處理系統101、103、105或雙腔室處理系統101、103、105之個別腔室之任一者。質流校驗器155在第1圖中被圖示成耦接到製程腔室110、111,然而這僅為了說明之用,並且質流校驗器155可耦接到系統100中的所有製程腔室。用於質流校驗器155之方法與設備之適當實施例的實例係被描述在西元2010年4月30日由James P. Cruse提出申請且標題為「Methods And Apparatus For Calibrating Flow Controllers In Substrate Processing Systems」之美國臨時專利申請案第61/330,056號中。
第2A圖圖示根據本發明之一些實施例之雙腔室處理系統(例如雙腔室處理系統101)的示意側視圖。雙腔室處理系統101包括製程腔室110、111,其中製程腔室110、111共享資源(例如共享真空泵202與共享氣體面板204),如第2A圖所示。在一些實施例中,耦接到處理系統100之各個雙腔室處理系統能夠以類似方式來配置。
製程腔室110(例如第一製程腔室)具有第一處理空間208,第一處理空間208包括第一基材支撐件201以支撐第一基材227,其中第一基材支撐件201設置在第一處理空間208中。製程腔室110進一步包括第一真空泵206,第一真空泵206用以維持第一處理空間208中的第一操作壓力。第一真空泵206可以是例如渦輪分子泵或諸如此類者。第一真空泵206可包括鄰近第一處理空間208之低壓側205以及高壓側207,高壓側207可選擇性地耦接到共享真空泵202,如以下所論述。第一真空泵206可藉由第一閘閥210而與第一處理空間208選擇性地隔離,其中第一閘閥210設置在第一處理空間208與第一真空泵206之間(例如鄰近第一真空泵206之低壓側205)。
雙腔室處理系統101之製程腔室111(例如第二製程腔室)包括第二處理空間214,第二處理空間214具有第二基材支撐件203以支撐第二基材231,其中第二基材支撐件203設置在第二處理空間214中。製程腔室111進一步包括第二真空泵212,第二真空泵212用以維持第二處理空間214中的第二操作壓力。第二真空泵212可以是例如渦輪分子泵或諸如此類者。第二真空泵212可包括鄰近第二處理空間214之低壓側211以及高壓側213,高壓側213可選擇性地耦接到共享真空泵202,如以下所論述。第二真空泵212可藉由第二閘閥216而與第二處理空間214選擇性地隔離,其中第二閘閥216設置在第二處理空間214與第二真空泵212之間(例如鄰近第二真空泵212之低壓側211)。
第一與第二處理空間208、214可以彼此隔離,以促進在各自製程腔室110、111中之基材的實質上獨立處理。雙腔室處理系統內之該等製程腔室之隔離處理空間可有利地減少或消除由於處理期間多基材處理系統(其中該等處理空間係流體地耦接)而引起的處理問題。然而,雙腔室處理系統可進一步有利地利用共享資源,共享資源可促進減少的系統佔據區域(system footprint)、硬體花費、公用設施的使用和成本、維護,及諸如此類者,同時可造成更高的基材產能。舉例而言,共享硬體可包括一或更多製程前線與粗抽泵、AC分佈與DC功率供應器、冷卻水分佈、冷卻器、多通道熱控制器、氣體面板、控制器,及諸如此類者。
共享真空泵202可耦接到第一與第二處理空間208、214或第一與第二真空泵206、212之任一者,並且選擇性地與第一與第二處理空間208、214或第一與第二真空泵206、212隔離。舉例而言,共享真空泵202可耦接到第一與第二處理空間208、214,以在開啟第一與第二閘閥210、216之前降低各個處理空間中之壓力到低於臨界壓力位準。例如,臨界壓力位準可以是比第一與第二操作壓力之任一者更高的壓力,該第一與第二操作壓力各自由第一與第二真空泵206、212所提供。然而,臨界壓力位準可以是必要的,以為了使第一與第二真空泵206、212開始操作。
共享真空泵202可藉由第一粗抽閥218(第一粗抽閥218設置在第一處理空間208與共享真空泵202之間)選擇性地耦接到第一處理空間208,同時繞過(bypass)第一真空泵206。舉例而言且如以下方法中所論述,第一真空泵206可藉由第一閘閥210與第一處理空間208隔離,同時第一處理空間208之壓力被降低到低於臨界壓力位準,該臨界壓力位準例如適於第一真空泵206操作。以下亦論述了可繞過第一真空泵206的額外實施例。
同樣地,共享真空泵202可藉由第二粗抽閥220(第二粗抽閥220設置在第二處理空間214與共享真空泵202之間)選擇性地耦接到第二處理空間214,同時繞過第二真空泵212。舉例而言且如以下方法中所論述,第二真空泵212可藉由第二閘閥216與第二處理空間214隔離,同時第二處理空間214之壓力被降低到低於臨界壓力位準,該臨界壓力位準例如適於第二真空泵212操作。以下亦論述了可繞過第二真空泵212的額外方法實施例。
共享真空泵202可藉由第一隔離閥222選擇性地耦接到第一真空泵206。舉例而言,第一隔離閥222可設置在第一真空泵206之高壓側207與共享真空泵202之間。在一些實施例中,例如當第一真空泵206在操作時,第一隔離閥是開啟的,以容許氣體或諸如此類者藉由第一真空泵206從第一處理空間208移除,而從第一真空泵206之高壓側207排出到共享真空泵202。
同樣地,共享真空泵202可藉由第二隔離閥224選擇性地耦接到第二真空泵212。舉例而言,第二隔離閥224可設置在第二真空泵212之高壓側213與共享真空泵202之間。在一些實施例中,例如當第二真空泵212在操作時,第二隔離閥是開啟的,以容許氣體或諸如此類者藉由第二真空泵212從第二處理空間214移除,而從第二真空泵212之高壓側213排出到共享真空泵202。
共享氣體面板204可耦接到製程腔室110、111之各者,以提供一或更多製程氣體到第一與第二處理空間208、214。舉例而言,共享氣體面板可包括一或更多氣體源(未圖示),例如可藉由一或更多流量控制器(諸如質流控制器、流量比例控制器,或諸如此類者)從各個氣體源將氣體以計量方式流出到各個製程腔室。可獨立地提供各個氣體源到各個處理空間,或可同時地提供各個氣體源到此兩個處理空間,以例如在此兩個製程腔室110、111中同時地執行相同製程。如在此所使用,同時地意謂此兩個處理空間中所執行的製程係至少部分地重疊、在兩個基材被輸送到此兩個處理空間之後開始,及在任一基材從此兩個處理空間之任一者移除之前結束。
第一三向閥226可設置在共享氣體面板與製程腔室110之第一處理空間208之間,以提供來自共享氣體面板204之製程氣體到第一處理空間208。舉例而言,製程氣體可在第一噴頭228處或製程氣體可在用以提供製程氣體到製程腔室之任何適當氣體入口處進入製程腔室110。另外,第一三向閥226可將來自共享氣體面板204之製程氣體轉向(例如繞過第一處理空間208)到前線導管230內,其中前線導管230耦接到共享真空泵202。另外,如圖所示,前線導管230可將共享真空泵202耦接到第一真空泵206之高壓側207,並且前線導管230可將共享真空泵202直接地耦接到第一處理空間208。
第一噴頭228可包括具有第一RF功率源229與電極耦接之電極,以例如為了在第一處理空間208中從製程氣體引發電漿。或者,第一RF功率源229可耦接到和第一噴頭228分離之電極(未圖示)或第一RF功率源229可耦接到一或更多設置在第一處理空間208外面之感應線圈(未圖示)。
第二三向閥232可設置在共享氣體面板與製程腔室111之第二處理空間214之間,以提供來自共享氣體面板204之製程氣體到第二處理空間214。舉例而言,製程氣體可在第二噴頭234處或製程氣體可在用以提供製程氣體到製程腔室之任何適當氣體入口處進入製程腔室111。另外,第二三向閥232可將來自共享氣體面板204之製程氣體轉向(例如繞過第二處理空間214)到前線導管230內,其中前線導管230耦接到共享真空泵202。另外,如圖所示,前線導管230可將共享真空泵202耦接到第二真空泵212之高壓側213,並且前線導管230可將共享真空泵202直接地耦接到第二處理空間214。
第二噴頭234可包括具有第二RF功率源235與電極耦接之電極,以例如為了在第二處理空間214中從製程氣體引發電漿。或者,第二RF功率源235可耦接到和第二噴頭234分離之電極(未圖示)或第二RF功率源235可耦接到一或更多設置在第二處理空間214外面之感應線圈(未圖示)。
藉由例如用以偵測製程腔室110中之製程終點的第一終點偵測器236以及用以偵測製程腔室111中之製程終點的第二終點偵測器238來偵測,第一與第二三向閥226、232可回應於偵測之製程終點以操作。舉例而言,控制器(諸如系統控制器144,或耦接到雙腔室處理系統101之一或更多構件的個別控制器(未圖示))可配置以,在製程腔室110中達到製程終點時接收來自第一終點偵測器236之第一訊號,且若未達到製程腔室111內運行之製程的製程終點時指示第一三向閥226將製程氣體轉向到前線導管230內。例如,儘管可在各個製程腔室110、111中起初地同步化製程,由於例如在各個製程腔室110、111中所處理之基材、基材溫度、電漿密度或通量,或諸如此類者的小變化,此製程可在各個製程腔室110、111中終止在不同的時間點。同樣地,控制器可配置以,在製程腔室111中達到製程終點時接收來自第二終點偵測器238之第二訊號,且若未達到製程腔室110內運行之製程的製程終點時指示第二三向閥232將製程氣體轉向到前線導管230內。
或者且例如,控制器在接收了來自第一終點偵測器236之第一訊號(其中製程腔室110中基材上所執行的製程已經達到製程終點)之後,控制器可關閉到RF功率源229之功率,以終止第一處理空間208中的電漿。另外,當達到製程終點時,在RF功率源229被關閉之後,製程氣體可持續流動到第一處理空間208內,而非由三向閥226來轉向。可在接收來自第二終點偵測器238之第二訊號之後在製程腔室111中執行類似的替代性實施例。再者,若接收到來自第一或第二終點偵測器236、238之任一者的訊號,在一些實施例中,控制器可終止此兩腔室中的製程,而不管是否皆偵測到此兩腔室中的製程終點。舉例而言,若接收到來自第一終點偵測器236之第一訊號(其中已經達到了製程腔室110中的製程終點),控制器可皆終止此兩腔室110、111中之製程,即使尚未接收到來自第二終點偵測器238之第二訊號。或者,若已經接收到指示在製程腔室110中達到製程終點之第一訊號,控制器可不在製程腔室110、111之任一者中採取任何行動,直到接收到指示亦在製程腔室111中達到製程終點之第二訊號。
或者,製程不需要在製程腔室110、111兩者中精確地被同步化,並且製程可例如在基材已經達到適當製程溫度或另一類似製程條件時在各個腔室中開始。因此,在從腔室110、111移除基材之前或在開始進一步處理步驟之前,當在給定腔室中達到製程終點時,製程氣體係被三向閥轉向到前線導管230內,直到相鄰腔室中達到了製程終點。雙腔室處理系統中之同步化及/或終點偵測之方法之進一步實施例係被描述在西元2010年4月30日由James P. Cruse提出申請且標題為「Methods For Processing Substrates In Process Systems Having Shared Resources」之美國臨時專利申請案第61/330,021號中。
共享氣體面板可進一步提供用以淨化製程腔室110、111之氣體。舉例而言,排空線240可直接(如圖所示)或經由各自第一與第二真空泵206、212之高壓側207、213(未圖示)選擇性地耦接到第一與第二處理空間208、214之各者。舉例而言,淨化氣體可包括氮(N2 )、氬(Ar)、氦(He),或諸如此類者。可經由第一淨化閥242選擇性地提供淨化氣體到第一處理空間208,其中該第一淨化閥242設置在共享氣體面板204與第一處理空間208之間。同樣地,可經由第二淨化閥244選擇性地提供淨化氣體到第二處理空間214,其中該第二淨化閥244設置在共享氣體面板204與第二處理空間214之間。另外,在淨化氣體用來排空各個製程腔室110、111到大氣的應用中,可提供排空口(未圖示)(例如閥或諸如此類者)於各個腔室110、111,以致可將各個腔室110、111獨立於另一腔室排空到大氣。
返回第1圖,系統控制器144耦接到處理系統100。可使用系統100之製程腔室110、111、112、132、128、120的直接控制,或可藉由控制與製程腔室110、111、112、132、128、120及/或各個雙腔室處理系統101、103、105及系統100相關聯之個別控制器(未圖示),而使系統控制器144來控制系統100之操作。在操作中,系統控制器144係致使來自各自腔室與系統控制器144的資料收集與回饋,以將系統100的效能予以最佳化。
系統控制器144通常包括中央處理單元(CPU)138、記憶體140,及支援電路142。CPU 138可以是用在工業設備中之任何形式之通用電腦處理器之一者。支援電路142係習知地耦接到CPU 138,並且支援電路142可包含快取記憶體、時脈電路、輸入/輸出子系統、功率供應器,及諸如此類者。諸如以下所述之當由CPU 138執行時用以控制一或更多腔室製程(諸如降低壓力、排空,或淨化雙腔室處理系統之各個腔室)之方法300、400或500的軟體常式將CPU 138轉變成專用目的電腦(控制器)144。軟體常式亦可被距離在系統100之遠端的第二控制器(未圖示)來儲存及/或執行。
用以控制雙腔室處理系統(諸如第2圖所示之雙腔室處理系統101)之各種腔室製程之方法係被描述在西元2010年4月30日由Ming Xu提出申請且標題為「Twin Chamber Processing System With Shared Vacuum Pump」之美國臨時專利申請案第61/330,105號中。雙腔室 處理系統中之共享熱傳送流體源
雙腔室處理系統中之共享熱傳送流體源之實施例係被描述在下文且被圖示在第2B圖中。第2A-2B圖中圖示之實施例可併入到一個雙腔室處理系統,例如該雙腔室處理系統包括共享真空泵與氣體面板(第2A圖)以及共享熱傳送源(第2B圖)。為了簡單說明起見,共享真空泵與氣體面板(第2A圖)以及共享熱傳送源(第2B圖)係分開地被圖示。第2A-2B圖之各圖中所使用之適當共用標號可用來描述第2A-2B圖之各圖中之相同元件。
第2B圖圖示根據本發明之一些實施例之兩個示例性製程腔室110、111,該等製程腔室110、111係適於可結合一或更多共享資源來使用。製程腔室110、111可以是任何類型之製程腔室,諸如上文參看第1圖所述之製程腔室。製程腔室110、111之各者可以是相同類型之製程腔室,並且在一些實施例中,可以是雙腔室處理系統(諸如第1圖所示之雙腔室處理系統101)之一部分。在一些實施例中,各個製程腔室是蝕刻腔室,並且各個製程腔室是雙腔室處理系統之一部分。
在一些實施例中,各個製程腔室110、111可通常包含腔室主體,腔室主體界定可包括處理空間208、214之內部空間。處理空間208、214可被界定在例如基材支撐載座201、203(基材支撐載座201、203設置在製程腔室110、111內以為了支撐基材227、231於基材支撐載座201、203上)與一或更多氣體入口(諸如噴頭228、234及/或被提供在期望位置處之噴嘴)之間。
在一些實施例中,基材支撐載座201、203可包括固持或支撐基材227、231於基材支撐載座201、203之表面243、245上的機構,諸如靜電夾盤、真空夾盤、基材固持夾具,或諸如此類者。舉例而言,在一些實施例中,基材支撐載座201、203可包括夾持電極223、225,夾持電極223、225設置在靜電夾盤246、248中。夾持電極223、225可經由一或更多各自的匹配網路(未圖示)耦接到一或更多夾持功率源(每個腔室圖示有一夾持功率源215、217)。該一或更多夾持功率源215、217可以在約2 MHz或約13.56 MHz或約60 MHz下產生高達12,000 W。在一些實施例中,該一或更多夾持功率源215、217可以提供連續式或脈衝式功率。在一些實施例中,夾持功率源可以是DC或脈衝式DC源。
在一些實施例中,基材支撐件201、203可包括一或更多用以控制基材支撐表面243、245及設置在基材支撐表面243、245上之基材227、231之溫度的機構。舉例而言,可提供一或更多通道239、241以在基材支撐表面243、245下方界定一或更多流動路徑,該一或更多流動路徑使熱傳送流體流動。可以適於在處理期間對遍佈基材支撐表面243、245及設置在基材支撐表面243、245上之基材227、231之溫度輪廓提供適當控制的任何方式來配置該一或更多通道239、241。在一些實施例中,該一或更多通道239、241可設置在冷卻板219、221內。在一些實施例中,冷卻板219、221可設置在靜電夾盤246、248下方。
熱傳送流體可包含適於提供適當熱傳送至或提供適當熱傳送自基材227、231之任何流體。舉例而言,熱傳送流體可以是氣體(諸如氦(He)、氧(O2 ),或諸如此類者)或液體(諸如水、抗凍劑,或醇類(例如丙三醇、乙二醇、丙烯、甲醇,或諸如此類者))。
共享熱傳送流體源250可同時地供應熱傳送流體到各個製程腔室110、111之一或更多通道239、241。在一些實施例中,共享熱傳送流體源250可並聯地耦接到各個製程腔室110、111。舉例而言,共享熱傳送流體源250包含至少一個出口252,該等出口252耦接到一或更多供應導管(每個腔室圖示有一個)256、260,以提供熱傳送流體到各個各自製程腔室110、111之一或更多通道239、241。在一些實施例中,各個供應導管256、260可具有實質上類似的流體傳導性。如在此所使用,實質上類似的流體傳導性係意謂位於+/-10%範圍內。舉例而言,在一些實施例中,各個供應導管256、260可具有實質上類似的截面積與軸向長度,藉此提供實質上類似的流體傳導性。或者,在一些實施例中,各個供應導管256、260可包含不同的尺寸(例如不同的截面積及/或軸向長度),藉此各者提供不同的流體傳導性。在該等實施例中,各個供應導管256、260的不同尺寸能夠提供不同流率的熱傳送流體到各個製程腔室110、111之一或更多通道239、241之各者。
另外地,共享熱傳送流體源250包含至少一個入口254,該等入口254耦接到一或更多返回導管(每個腔室圖示有一個)258、262,以接收來自各個各自製程腔室110、111之一或更多通道239、241之熱傳送流體。在一些實施例中,各個返回導管258、262可具有實質上類似的流體傳導性。舉例而言,在一些實施例中,各個返回導管258、262可包含實質上類似的截面積與軸向長度。或者,在一些實施例中,各個返回導管258、262可包含不同的尺寸,例如不同的截面積及/或軸向長度。
共享熱傳送流體源250可包括溫度控制機構(例如冷卻器及/或加熱器),以控制熱傳送流體之溫度。可在熱傳送流體源250與一或更多通道239、241之間提供一或更多閥或其他流動控制裝置(未圖示),以獨立地控制流動到各個製程腔室110、111之熱傳送流體的流率。控制器(未圖示)可控制一或更多閥及/或共享熱傳送流體源250的操作。
在操作中,共享熱傳送流體源250可經由供應導管256、260提供預定溫度下的熱傳送流體到各個製程腔室110、111之一或更多通道239、241之各者。隨著熱傳送流體流動通過基材支撐件201、203之一或更多通道239、241,熱傳送流體提供熱到基材支撐件201、203以及因而基材支撐表面243、245與設置在基材支撐表面243、245上之基材227、231,或熱傳送流體自基材支撐件201、203以及因而基材支撐表面243、245與設置在基材支撐表面243、245上之基材227、231移除熱。接著,熱傳送流體從一或更多通道239、241經由返回導管258、262流動回到共享熱傳送流體源250,熱傳送流體係在此處經由共享熱傳送流體源250之溫度控制機構被加熱或冷卻到預定溫度。
在一些實施例中,一或更多加熱器(每個腔室圖示有一個)264、266可設置成鄰近基材支撐件201、203,以進一步促進對於基材支撐表面243、245之溫度的控制。該一或更多加熱器264、266可以是適於對基材溫度提供控制之任何類型之加熱器。舉例而言,該一或更多加熱器264、266可以是一或更多電阻式加熱器。在該等實施例中,該一或更多加熱器264、266可耦接到功率源268、270,功率源268、270係配置以提供功率到該一或更多加熱器264、266以促進該一或更多加熱器264、266的加熱。在一些實施例中,該等加熱器可設置在基材支撐表面243、245上方或鄰近基材支撐表面243、245。或者或組合地,在一些實施例中,加熱器可嵌設在基材支撐件201、203或靜電夾盤246、248內。可改變該一或更多加熱器之數量及配置,以對於基材227、231之溫度提供額外的控制。舉例而言,在使用超過一個加熱器的實施例中,該等加熱器可被配置在複數個區域中,以促進遍佈基材227、231之溫度的控制,因此提供了增加的溫度控制。
基材227、231可經由開口272、274進入製程腔室110、111,其中開口272、274位於製程腔室110、111之壁中。可經由狹縫閥276、278或其他機構,將開口272、274選擇性地密封,以經由開口272、274對腔室內部選擇性地提供存取。基材支撐載座201、203可耦接到升降機構(未圖示),升降機構可控制基材支撐載座201、203的位置於下方位置(下方位置適於經由開口272、274傳送基材進出腔室)以及可選的上方位置(上方位置適於處理)之間。可選擇製程位置,以將特定製程之製程均勻性最大化。當在該等升高製程位置之至少一者時,基材支撐載座201、203可設置在開口272、274上方,以提供對稱的處理區域。
一或更多氣體入口(例如噴頭228、234)可耦接到獨立或共享之氣體供應器(圖上圖示共享之氣體供應器204),以提供一或更多製程氣體到製程腔室110、111之處理空間208、214內。儘管第2B圖圖示一噴頭228、234,可提供額外的或替代的氣體入口,諸如設置在製程腔室110、111之室頂中或側壁上或在適於提供期望氣體到製程腔室110、111之其他位置處(諸如製程腔室之基底、基材支撐載座之周邊,或諸如此類者)的噴嘴或入口。
在一些實施例中,製程腔室110、111可利用電容式耦合之RF功率來進行電漿處理,儘管製程腔室110、111亦可或替代地使用感應式耦合之RF功率來進行電漿處理。舉例而言,基材支撐件201、203可具有電極280、282設置在基材支撐件201、203中,或基材支撐件201、203之導電部分可作為電極。電極可經由一或更多各自匹配網路(未圖示)耦接到一或更多電漿功率源(每個製程腔室圖示有一RF功率源284、286)。在一些實施例中,例如基材支撐件201、203是由導電材料(例如諸如鋁的金屬)製成,整個基材支撐件201、203可作為電極,藉此去除了個別電極280、282的需要。該一或更多電漿功率源能夠在約2 MHz與或約13.56 MHz或更高頻率(諸如27 MHz及/或60 MHz)之頻率下產生高達約5,000 W。
在一些實施例中,終點偵測系統288、290可耦接到各個製程腔室110、111且終點偵測系統288、290可用以決定各個腔室中何時達到了期望的製程終點。舉例而言,終點偵測系統288、290可以是一或更多光譜計、質譜儀,或用以決定處理空間208、214內執行之製程之終點之任何適當偵測系統。在一些實施例中,終點偵測系統288、290可耦接到製程腔室110、111之控制器292。儘管圖上圖示單一控制器292用於製程腔室110、111(如同可用在雙腔室處理系統中),可替代地使用個別控制器於各個製程腔室110、111。或者,亦可以使用控制器144(如上文參看第1圖所論述)或某個其他控制器。
真空泵206、212可經由泵送埠耦接到泵送容室,泵送埠係用以將廢氣從製程腔室110、111泵送出。真空泵206、212可流體地耦接到排放出口,排放出口係用以依需要將廢氣以管路排放到適當的廢氣處理設備。一閥(諸如閘閥或諸如此類者(例如第2A圖所示之閘閥210、216))可設置在泵送容室中,以促進廢氣之流率與真空泵206、212(共享真空泵202與相關設備(諸如閘閥210、216)係在第2B圖中被省略以為了清晰起見)之操作之組合的控制。
為了促進製程腔室110、111之控制,控制器292可以是任何形式之通用電腦處理器,通用電腦處理器可用在工業設備中以為了控制各種腔室和子處理器。CPU 296之記憶體或電腦可讀媒體294可以是一或更多可輕易取得之記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟,或任何其他形式之數位儲存器,無論是本端的或遠端的皆可。支援電路298耦接到CPU 296,以為了以習知方式來支援處理器。該等電路包括快取記憶體、功率供應器、時脈電路、輸入/輸出電路與子系統,及諸如此類者。與共享熱傳送源相關聯之方法與設備之進一步實施例係被描述在西元2010年4月30日由Jared Ahmad Lee提出申請且標題為「Process Chambers Having Shared Resources And Methods Of Use Thereof」之美國臨時專利申請案第61/330,014號中。雙腔室 處理系統之氣體散佈系統
本發明之實施例係提供氣體散佈系統,氣體散佈系統將流經氣體散佈系統間之氣體被動地分隔成期望的流量比例。設備是基於下述基本原理:流經孔口的流量是直接正比於截面積。若氣流在兩孔口(其中一孔口是另一孔口的兩倍(指截面積))之間被分離,流量比例將為二比一。然而,此原理是取決於具有相同上游和下游壓力之兩孔口。在本發明中,耦接到設備之不同氣體輸送區域(例如噴頭之區域、不同製程腔室,或諸如此類者)可對於流量具有不同的傳導性或阻抗性,並且因此下游壓力可能不會相同。在一些實施例中,發明人已經藉由將設備設計成總是操作在堵塞流動條件下(例如上游壓力為下游壓力之至少兩倍)而解決了此問題。若流動被堵塞,則流量將僅為上游壓力之函數。
類似上文之第2A-2B圖,第3-4圖可使用共用之標號來描述第3圖中之元件,第3圖中之該等元件係和上文涉及第1圖和第2A-B圖所論述者實質上相同。第3圖圖示根據本發明之一些實施例之示例性氣體散佈系統300的示意圖。儘管第3圖圖示之系統係主要關於提供氣體流動到兩個氣體輸送區域(例如326、328),可根據在此揭示之原理將系統擴增,以提供氣體流動到額外之氣體輸送區域(例如虛線圖示之342)。氣體散佈系統300通常包括一或更多質流控制器(圖上圖示一個質流控制器304)、第一流量控制岐管306,及第二流量控制岐管308(可以在此描述之類似方式來配置提供額外之流量控制岐管,如虛線圖示之元件符號340)。質流控制器304通常耦接到氣體散佈面板204,氣體散佈面板204係提供一或更多氣體或氣體混合物(全文且申請專利範圍中稱為氣體)。質流控制器304控制流經氣體散佈設備300之總流率,並且質流控制器304在各自入口處耦接到第一與第二流量控制岐管306、308。儘管圖示一個質流控制器304,複數個質流控制器可耦接到氣體散佈面板204,以將來自氣體散佈面板204之各自製程氣體予以計量。在該一或更多質流控制器304之多個輸出被分離且被配線到各個流量控制岐管(例如306、308)之前,該一或更多質流控制器304之多個輸出一般會被耦接(例如被饋送到共享導管、混合器、容室,或諸如此類者,或上述組合內)。
第一流量控制岐管306包括複數個第一孔口310與複數個第一控制閥312,第一孔口310與第一控制閥312被耦接在第一流量控制岐管306的入口314與出口316之間。該複數個第一控制閥312可選擇性地被開啟或關閉,以為了將一或更多該複數個第一孔口310選擇性地耦接到質流控制器304之出口(例如,以為了容許氣體能從質流控制器304流動通過經選擇的第一孔口310)。
同樣地,第二流量控制岐管308包括複數個第二孔口318與複數個第二控制閥320,第二孔口318與第二控制閥320被耦接在第二流量控制岐管308的入口322與出口324之間。該複數個第二控制閥320可選擇性地被開啟或關閉,以為了將一或更多該複數個第二孔口318選擇性地耦接到質流控制器304(例如,以為了容許氣體能流動通過經選擇的第二孔口318)。同樣地,可提供額外之流量控制岐管(諸如340),以提供期望之流量比例之氣體到額外之氣體輸送區域(諸如342)。
第一與第二控制閥312、320可以是用於工業環境中或半導體製造環境中之任何適當之控制閥。在一些實施例中,第一與第二控制閥312、320可以是氣壓式致動閥。在一些實施例中,第一與第二控制閥312、320可以被裝設在基材(未圖示)上,在此處各個控制閥的密封具有被設置在密封件之結構內的精確之孔口。在一些實施例中,該等孔口可被設置在該等控制閥之主體內。在一些實施例中,可提供分離的控制閥與孔口。
在第3圖圖示之實施例中,圖上圖示六個第一孔口310與六個第二孔口318,各者耦接到各自之第一控制閥312與各自之第二控制閥320。然而,各個流量控制岐管不需要具有相同數量的孔口,儘管具有相同數量和配置之孔口可促進提供相同流量比例於第一與第二氣體輸送區域326、328之間的容易性(不管比例是介於第一與第二氣體輸送區域326、328之間或介於第二與第一輸送區域328、326之間)。此外,各個區域可具有不同於六個之更少或更多數量的孔口。大體而言,更少的孔口可容許更少的流量比例被提供,並且更多的孔口可容許更多的流量比例被提供,但需要更多費用和複雜性。因此,可基於用在特定應用之期望的處理彈性來選擇被提供之孔口的數量。
可基於用在特定應用之預期的操作條件與輸出需求來決定氣體散佈系統300之配置。舉例而言,在一些實施例中,氣體散佈系統300可提供氣體輸送區域326、328之間的介於1:1與6:1之間的流量比例,流量比例可以一半的比例來增加(即1/1、1.5/1、2/1、2.5/1……6/1)且流量比例必須能夠完全可逆(即1/1、1/1.5、1/2、1/2.5……1/6)。在一些實施例中,精確之氣體流量分離可位於5%內,以例如匹配於現有設備之效能。在一些實施例中,氣體散佈系統300可被設計成適於相當於每個氣體輸送區域326、328有介於50 sccm與500 sccm氮之間的氣體流量比例,並且可和所有的製程氣體相容。在一些實施例中,可將氣體散佈系統300之上游壓力(或背壓)予以最小化,以減少氣體散佈系統300之回應時間。此外,可限制氣體散佈系統300之上游壓力(或背壓)或將氣體散佈系統300之上游壓力(或背壓)予以最小化,以避免非期望之一些低蒸氣壓氣體(例如四氯化矽,SiCl4 )的凝結。因此,在一些實施例中,經限制之上游壓力是低到足以避免低蒸氣壓氣體的凝結。舉例而言,第一與第二流量控制岐管可提供足以維持堵塞流動的壓降,同時將孔口上游之壓力予以最小化,以避免任何半導體製程化學物(該半導體製程化學物之蒸氣壓在使用溫度會接近孔口上游之壓力)的凝結。低蒸氣壓氣體包括在操作壓力與溫度下離開氣相(即液化)之氣體。非限制之實例包括約150托之SiCl4 、約100托之C6 F6 、約5 psig之C4 F8 ,及諸如此類者。在一些實施例中,最大可容許之經限制之上游壓力係被設計成在室溫或155托下SiCl4 之蒸氣壓。
通常,可將上游壓力予以最小化,以將系統之回應時間予以最小化。舉例而言,在一給定流率,流量控制器與孔口之間的體積需要一些時段來達到期望之壓力且提供穩定狀態之流動。因此,更高之壓力將需要更長之時段來填滿此體積而達到此更高壓力,並且因此更高之壓力將需要更長時間來達到穩定狀態之流動。在一些實施例中,可將流量控制器與孔口之間的體積予以最小化,以將回應時間予以最小化。然而,在一些實施例中,可控制經限制之上游壓力,以將系統之回應時間予以最佳化,以例如控制特定回應時間而匹配於其他系統。因此,在一些實施例中,第一與第二流量控制岐管可提供足以維持堵塞流動之壓降,同時可控制孔口上游之壓力,以控制系統之回應時間。可例如藉由控制流量控制器與孔口之間的體積、藉由有意地選擇更多限制的孔口以建立更高背壓,或諸如此類者來提供該控制。根據所執行之特定製程(例如蝕刻、化學氣相沉積、原子層沉積、物理氣相沉積,或諸如此類者),不同的應用及/或製程可具有不同之期望的回應時間(例如最佳化的回應時間)。在一些實施例中,期望的回應時間可以是2秒或更少,或5秒或更少,或10秒或更少,或15秒或更少。
在一些實施例中,可使用流動模擬軟體(諸如Marcoflow)來選擇用在第一與第二流量控制岐管306、308之各者的期望尺寸之第一與第二孔口310、318,以為了滿足蝕刻處理的需求。舉例而言,在一些實施例中,此選擇可藉由尋找對於最小期望製程氣體流量仍將產生堵塞流動之最大孔口來決定。在一些實施例中,可提供每個區域六個孔口,孔口尺寸的增額為1、1.5、2、4、8與12(例如乘數因子)。在一些實施例中,最小之孔口直徑可以是0.0090"(以例如在最小期望流量下提供堵塞流動),並且所有的孔口直徑是最小孔口直徑的倍數。在一些實施例中,孔口直徑可以是0.009、0.011、0.013、0.018、0.025,及0.031吋。具有該等直徑之孔口是商業上可獲得之孔口直徑,並且可被選擇(而不是選擇可提供正確截面積比例的直徑以為了提供更有經濟效益的解決方案,其中重複性與再現性是比正確比例更重要)。舉例而言,模擬顯示了,藉由此配置,相當於每個區域介於10 sccm與1200 sccm氮之間的所有比例與所有流量可皆滿足堵塞流動與最大背壓需求。
在一些實施例中,使用上述之孔口直徑,氣體輸送系統300能夠提供1:1流量比例之約16 sccm至約2300 sccm之氣體流量,及4:1流量比例之約40 sccm至約1750 sccm之氣體流量。該等流率範圍是以相當於氮之氣體流量來表示,如下文所將更詳細地描述。
第一與第二流量控制岐管306、308之出口316、324可以選擇性地耦接到第一氣體輸送區域326與第二氣體輸送區域328。基於選擇性耦接第一孔口310與第二孔口318所賦予的期望流量比例,各個氣體輸送區域326、328可因而接收由質流控制器304提供之期望比例之總氣體流量。通常,氣體輸送區域326、328可以是期望控制氣體流量比例的任何區域。
舉例而言,在一些實施例中(如第4A圖所示),第一氣體輸送區域326可對應於第一區域402,諸如用以提供氣體到製程腔室(製程腔室內裝設有噴頭404)之噴頭404之內區域。第二氣體輸送區域328可對應於第二區域406,諸如噴頭404之外區域。
在一些實施例中(如第4B圖所示),第一與第二氣體輸送區域326、328可各自地被提供到製程腔室414之噴頭410與一或更多氣體入口412,其中製程腔室414具有基材支撐件416以支撐基材S於基材支撐件416上。
在一些實施例中(如第4C圖之上部所示),第一與第二氣體輸送區域326、328可各自地被提供到製程腔室110、111之噴頭228、234(及/或其他氣體入口),其中製程腔室110、111具有基材支撐件201、203以支撐各自基材227、231於基材支撐件201、203上。或者且如第4C圖之下部所示,第一與第二氣體輸送區域326、328可各自地被提供到不同製程腔室110、111之噴頭228、234兩者(及/或其他氣體入口)。舉例而言,第一氣體輸送區域326可對應於各個噴頭228、234中之第一區域(諸如第4A圖所圖示之噴頭404之第一區域402),並且第二氣體輸送區域328可對應於各個噴頭228、234中之第二區域(諸如第4A圖所圖示之噴頭404之第二區域406)。
另外,儘管第4C圖沒有圖示,第一與第二氣體輸送區域326、328不需要被限制成被提供到兩個噴頭,並且第一與第二氣體輸送區域326、328可被提供到複數個製程腔室中之任何適當複數個噴頭。舉例而言,第一氣體輸送區域326可對應於複數個製程腔室之複數個噴頭中之第一區域,並且第二氣體輸送區域328可對應於複數個製程腔室之複數個噴頭中之第二區域。
返回第3圖,可提供一或更多壓力計來監控氣體散佈設備300之期望位置處的壓力。舉例而言,可提供壓力計332來監控氣體散佈設備300之上游壓力。在一些實施例中,壓力計332可設置在氣體管線中,該氣體管線耦接於質流控制器304以及第一與第二流量控制岐管306、308之間。可提供壓力計334、336,以各自監控氣體散佈設備300之下游壓力。在一些實施例中,壓力計334、336可各自設置在氣體管線中,該等氣體管線各自耦接於第一與第二流量控制岐管306、308以及第一與第二氣體輸送區域326、328之間。
可提供控制器330且使控制器330耦接到氣體散佈系統300,以為了控制系統之部件。舉例而言,控制器330可耦接到氣體散佈面板204以選擇一或更多製程氣體來提供、控制器330可耦接到質流控制器304以設定期望之流率,及控制器330可耦接到第一與第二流量控制岐管306、308之各者以控制控制閥312、320中何者要開啟以提供期望之流量比例。控制器可進一步耦接到壓力計332、334、336,以為了確保滿足了堵塞流動和最小背壓的壓力需求。
控制器330可以是任何適當之控制器,並且控制器330可以是用在耦接有氣體散佈系統300之製程腔室或製程工具的製程控制器,或其他控制器。控制器330通常包括中央處理單元(CPU)、記憶體,及支援電路。CPU可以是任何形式之通用電腦處理器之一者,通用電腦處理器可用在工業設備中。支援電路耦接到CPU且支援電路可包含快取記憶體、時脈電路、輸入/輸出子系統、功率供應器,及諸如此類者。軟體常式(諸如用以操作在此所述之氣體散佈系統300之方法,例如參看第3-4圖)可被儲存在控制器330之記憶體中。當軟體常式被CPU執行時,軟體常式將CPU轉變成專用目的電腦(控制器)330。軟體常式亦可被第二控制器(未圖示)儲存及/或執行,其中該第二控制器位於距離控制器330的遠端。或者,類似上文所論述之實施例,氣體散佈系統330可被控制器144(第1圖)或任何上文所論述之其他控制器所控制。
發明人係以一範圍之期望流量比例、一些流率且使用多種氣體來測試氣體散佈系統300之實施例。氣體散佈系統300滿足了在50 sccm至500 sccm之氣體流量下蝕刻處理之所有精確需求。發明人發現到,氣體散佈系統300之重複性係位於1%內。與氣體散佈系統300相關聯之方法與設備之進一步實施例係被描述在西元2010年4月30日由James P. Cruse提出申請且標題為「Methods And Apparatus For Reducing Flow Splitting Errors Using Orifice Ratio Conductance Control」之美國臨時專利申請案第61/330,047號中。
所以,已經提供用於雙腔室處理系統之方法與設備。所發明之雙腔室處理系統係有利地結合多個資源(例如共享真空泵、共享氣體面板,或諸如此類者),以降低系統成本,同時維持雙腔室處理系統之各個腔室中的處理品質。另外,當共享資源被用在雙腔室處理系統之各個腔室之間時,所發明之方法係有利地控制腔室製程(諸如降低壓力、排空、淨化,或諸如此類者)的操作。
儘管前述說明係導向本發明之實施例,可在不偏離本發明之基本範疇下設想出本發明之其他與進一步實施例。
100‧‧‧處理系統
101‧‧‧雙腔室處理系統
102‧‧‧工廠界面
103‧‧‧雙腔室處理系統
104‧‧‧真空密封處理平台
105‧‧‧雙腔室處理系統
106A-B‧‧‧前開式整合艙
108‧‧‧停靠站
110‧‧‧製程腔室
111‧‧‧製程腔室
112‧‧‧製程腔室
113‧‧‧壓力計
114‧‧‧工廠界面機器人
116‧‧‧葉片
118‧‧‧度量站
119‧‧‧終端
120‧‧‧製程腔室
122‧‧‧負載閉鎖腔室
123‧‧‧第一埠
124‧‧‧基材
125‧‧‧第二埠
126‧‧‧基材
128‧‧‧製程腔室
130‧‧‧真空機器人
131‧‧‧可移動臂
132‧‧‧製程腔室
133‧‧‧壓力計
134‧‧‧葉片
136‧‧‧傳送腔室
138‧‧‧中央處理單元
140‧‧‧記憶體
142‧‧‧支援電路
144‧‧‧系統控制器
150‧‧‧參考壓力計
155‧‧‧質流校驗器
201‧‧‧第一基材支撐件
202‧‧‧共享真空泵
203‧‧‧第二基材支撐件
204‧‧‧共享氣體面板
205‧‧‧低壓側
206‧‧‧第一真空泵
207‧‧‧高壓側
208‧‧‧第一處理空間
210‧‧‧閘閥
211‧‧‧低壓側
212‧‧‧第二真空泵
213‧‧‧高壓側
214‧‧‧第二處理空間
215‧‧‧夾持功率源
216‧‧‧閘閥
217‧‧‧夾持功率源
218‧‧‧第一粗抽閥
219‧‧‧冷卻板
220‧‧‧第二粗抽閥
221‧‧‧冷卻板
222‧‧‧第一隔離閥
223‧‧‧夾持電極
224‧‧‧隔離閥
225‧‧‧夾持電極
226‧‧‧第一三向閥
227‧‧‧基材
228‧‧‧噴頭
229‧‧‧第一RF功率源
230‧‧‧前線導管
231‧‧‧第二基材
232‧‧‧第二三向閥
234‧‧‧噴頭
235‧‧‧RF功率源
236‧‧‧第一終點偵測器
238‧‧‧第二終點偵測器
239‧‧‧通道
241‧‧‧通道
243‧‧‧表面
245‧‧‧表面
246‧‧‧靜電夾盤
248‧‧‧靜電夾盤
250‧‧‧共享熱傳送流體源
252‧‧‧出口
254‧‧‧入口
256‧‧‧供應導管
258‧‧‧返回導管
260‧‧‧供應導管
262‧‧‧返回導管
264‧‧‧加熱器
266‧‧‧加熱器
268‧‧‧功率源
270‧‧‧功率源
272‧‧‧開口
274‧‧‧開口
276‧‧‧狹縫閥
278‧‧‧狹縫閥
280‧‧‧電極
282‧‧‧電極
284‧‧‧RF功率源
286‧‧‧RF功率源
288‧‧‧終點偵測系統
290‧‧‧終點偵測系統
292‧‧‧控制器
294‧‧‧電腦可讀媒體
296‧‧‧中央處理單元
298‧‧‧支援電路
300‧‧‧氣體散佈系統
304‧‧‧質流控制器
306‧‧‧第一流量控制岐管
308‧‧‧第二流量控制岐管
310‧‧‧第一孔口
312‧‧‧第一控制閥
314‧‧‧入口
316‧‧‧出口
318‧‧‧第二孔口
320‧‧‧第二控制閥
322‧‧‧入口
324‧‧‧出口
326‧‧‧第一氣體輸送區域
328‧‧‧第二氣體輸送區域
330‧‧‧控制器
332‧‧‧壓力計
334‧‧‧壓力計
336‧‧‧壓力計
340‧‧‧流量控制岐管
342‧‧‧氣體輸送區域
402‧‧‧第一區域
404‧‧‧噴頭
406‧‧‧第二區域
410‧‧‧噴頭
412‧‧‧氣體入口
414‧‧‧製程腔室
416‧‧‧基材支撐件
可藉由參考本發明之說明性實施例來詳細暸解本發明之說明,本發明之說明簡短地在上文概述過,其中該等實施例在附圖中圖示。但是應注意的是,附圖僅圖示本發明之典型實施例,因此典型實施例不應被視為會對本發明範疇構成限制,因為本發明可允許其他等效實施例。
第1圖圖示根據本發明之一些實施例之處理系統的示意俯視圖。
第2A圖圖示根據本發明之一些實施例之雙腔室處理系統的示意側視圖。
第2B圖圖示根據本發明之一些實施例之雙腔室處理系統的示意側視圖。
第3圖圖示根據本發明之一些實施例之示例性氣體散佈系統的示意圖。
第4A-C圖各自圖示根據本發明之一些實施例之耦接到第1圖氣體散佈系統之氣體輸送區域的部分示意圖。
為促進了解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。圖式未依比例來繪製且被簡化以為了清晰起見。應瞭解,一實施例的元件與特徵可有利地併入到其他實施例而不需特別詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (12)

  1. 一種用以處理基材之雙腔室處理系統,包含:一第一製程腔室,該第一製程腔室具有一第一真空泵以維持該第一製程腔室之一第一處理空間中之一第一操作壓力,其中可藉由一第一閘閥來將該第一處理空間選擇性地隔離,該第一閘閥設置在該第一處理空間與該第一真空泵之一低壓側之間;一第二製程腔室,該第二製程腔室具有一第二真空泵以維持該第二製程腔室之一第二處理空間中之一第二操作壓力,其中可藉由一第二閘閥來將該第二處理空間選擇性地隔離,該第二閘閥設置在該第二處理空間與該第二真空泵之一低壓側之間;一共享真空泵,該共享真空泵耦接到該第一與第二處理空間,以降低各個處理空間中之一壓力到低於一臨界壓力位準,其中該共享真空泵可與該第一製程腔室、該第二製程腔室、該第一真空泵,或該第二真空泵之任一者選擇性地隔離;一共享氣體面板,該共享氣體面板耦接到該第一製程腔室與該第二製程腔室之各者,以提供一或更多製程氣體到該第一與第二製程腔室;一第一三向閥,該第一三向閥設置在該共享氣體面板、該第一製程腔室與耦接到該共享真空泵之一前線導管之間;一第二三向閥,該第二三向閥設置在該共享氣體面板、該第二製程腔室與該前線導管之間;一控制器,配置以(a)在該第一製程腔室中達到一製程終點時接收來自一第一終點偵測器之一第一訊號,且若未達到該第二製程腔室內運行之一製程的一製程終點時指示該第一三向閥將該一或更多製程氣體轉向到該前線導管內,及(b)在該第二製程腔室中達到一製程終點時接收來自一第二終點偵測器之一第二訊號,且若未達到該第一製程腔室內運行之一製程的一製程終點時指示該第二三向閥將該一或更多製程氣體轉向到該前線導管內;一質流控制器,該質流控制器從該共享氣體面板提供一期望之總氣體流量到該第一與第二製程腔室;一第一流量控制岐管,該第一流量控制岐管包含一第一入口、一第一出口,及複數個選擇性地被耦接在該第一入口與該第一出口之間的第一孔口,其中該第一入口係耦接到該質流控制器;及一第二流量控制岐管,該第二流量控制岐管包含一第二入口、一第二出口,及複數個選擇性地被耦接在該第二入口與該第二出口之間的第二孔口,其中該第二入口係耦接到該質流控制器;其中該複數個第一孔口與該複數個第二孔口係藉由選擇性地使該一或更多製程氣體流動通過該複數個第一孔口之一或多者與該複數個第二孔口之一或多者而於該第一出口與該第二出口之間提供一期望之流量比例,及其中當使一氣體流動通過該第一及第二流量控制岐管時,一導管之傳導性係足以提供一堵塞流動條件,其中該導管被提供在該質流控制器以及該第一與第二流量控制岐管之各自入口之間。
  2. 如請求項1之雙腔室處理系統,其中該第一出口係耦接到一第一製程腔室之一第一氣體輸送區域,並且該第二出口係耦接到該第一製程腔室之一第二氣體輸送區域。
  3. 如請求項2之雙腔室處理系統,其中該第一出口係進一步耦接到一第二製程腔室之一第一氣體輸送區域,並且該第二出口係進一步耦接到該第二製程腔室之一第二氣體輸送區域。
  4. 如請求項1之雙腔室處理系統,進一步包含:一第一基材支撐件,該第一基材支撐件設置在該第一製程腔室內,其中該第一基材支撐件具有一或更多通道,以循環一熱傳送流體,而控制該第一基材支撐件之一溫度;一第二基材支撐件,該第二基材支撐件設置在該第二製程腔室內,其中該第二基材支撐件具有一或更多通道,以循環該熱傳送流體,而控制該第二基材支撐件之一溫度;及一共享熱傳送流體源,該共享熱傳送流體源具有一出口以提供該熱傳送流體到該第一基材支撐件與該第二基材支撐件之各自一或更多通道,以及具有一入口以接收來自該第一基材支撐件與該第二基材支撐件之該熱傳送流體。
  5. 一種群集處理系統,包含:一傳送腔室;以及複數個如請求項1至4之任一項之雙腔室處理系統,該等雙腔室處理系統與該傳送腔室耦接。
  6. 如請求項5之群集處理系統,進一步包含:一質流校驗器,該質流校驗器選擇性地流體耦接到該複數個雙製程腔室之各個製程腔室,以校驗且校正耦接到各個製程腔室之各自質流計。
  7. 如請求項6之群集處理系統,進一步包含:一參考壓力計,該參考壓力計選擇性地流體耦接到該複數個雙製程腔室之各個製程腔室,以校驗且校正耦接到各個製程腔室之各自壓力計。
  8. 一種用以處理基材之雙腔室處理系統,包含:一第一製程腔室與一第二製程腔室,該第一製程腔室與該第二製程腔室設置在一共用殼體中,該第一製程腔室具有一第一處理空間且該第二製程腔室具有一第二處理空間,其中該第一與第二處理空間可在處理期間彼此隔離;一共享真空泵,該共享真空泵耦接到該第一與第二處理空間,以降低各個處理空間中之一壓力;一共享氣體面板,該共享氣體面板耦接到該第一製程腔室與該第二製程腔室之各者,以提供一或更多製程氣體到該第一與第二製程腔室;一共享熱傳送流體源,該共享熱傳送流體源具有一出口以提供一熱傳送流體到設置在該第一製程腔室中之一第一基材支撐件與設置在該第二製程腔室中之一第二基材支撐件的各自一或更多通道,以及具有一入口以接收來自該第一基材支撐件與該第二基材支撐件之該熱傳送流體;一第一三向閥,該第一三向閥設置在該共享氣體面板、該第一製程腔室與耦接到該共享真空泵之一前線導管之間;一第二三向閥,該第二三向閥設置在該共享氣體面板、該第二製程腔室與該前線導管之間;一控制器,配置以(a)在該第一製程腔室中達到一製程終點時接收來自一第一終點偵測器之一第一訊號,且若未達到該第二製程腔室內運行之一製程的一製程終點時指示該第一三向閥將該一或更多製程氣體轉向到該前線導管內,及(b)在該第二製程腔室中達到一製程終點時接收來自一第二終點偵測器之一第二訊號,且若未達到該第一製程腔室內運行之一製程的一製程終點時指示該第二三向閥將該一或更多製程氣體轉向到該前線導管內;一質流控制器,該質流控制器從該共享氣體面板提供一期望之總氣體流量到該第一與第二製程腔室;一第一流量控制岐管,該第一流量控制岐管包含一第一入口、一第一出口,及複數個第一孔口,該複數個第一孔口選擇性地被耦接在該第一入口與該第一出口之間,其中該第一入口係耦接到該質流控制器;及一第二流量控制岐管,該第二流量控制岐管包含一第二入口、一第二出口,及複數個第二孔口,該複數個第二孔口選擇性地被耦接在該第二入口與該第二出口之間,其中該第二入口係耦接到該質流控制器;其中該複數個第一孔口與該複數個第二孔口係藉由選擇性地使該一或更多製程氣體流動通過該複數個第一孔口之一或多者與該複數個第二孔口之一或多者而於該第一出口與該第二出口之間提供一期望之流量比例,及其中當使一氣體流動通過該第一及第二流量控制岐管時,一導管之傳導性係足以提供一堵塞流動條件,其中該導管被提供在該質流控制器以及該第一與第二流量控制岐管之各自入口之間。
  9. 如請求項8之雙腔室處理系統,其中該第一出口係耦接到一第一製程腔室之一第一氣體輸送區域,並且該第二出口係耦接到該第一製程腔室之一第二氣體輸送區域,以及選擇性地,其中該第一出口係進一步耦接到一第二製程腔室之一第一氣體輸送區域,並且該第二出口係進一步耦接到該第二製程腔室之一第二氣體輸送區域。
  10. 一種群集處理系統,包含:一傳送腔室;以及複數個如請求項8或9之雙腔室處理系統,該等雙腔室處理系統與該傳送腔室耦接。
  11. 如請求項10之群集處理系統,進一步包含:一質流校驗器,該質流校驗器選擇性地流體耦接到該複數個雙製程腔室之各個製程腔室,以校驗且校正耦接到各個製程腔室之各自質流計。
  12. 如請求項10之群集處理系統,進一步包含:一參考壓力計,該參考壓力計選擇性地流體耦接到該複數個雙製程腔室之各個製程腔室,以校驗且校正耦接到各個製程腔室之各自壓力計。
TW106139083A 2010-04-30 2011-04-14 雙腔室處理系統 TWI677930B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US33015610P 2010-04-30 2010-04-30
US61/330,156 2010-04-30
US12/908,644 2010-10-20
US12/908,644 US20110265951A1 (en) 2010-04-30 2010-10-20 Twin chamber processing system

Publications (2)

Publication Number Publication Date
TW201818496A TW201818496A (zh) 2018-05-16
TWI677930B true TWI677930B (zh) 2019-11-21

Family

ID=44857341

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106139083A TWI677930B (zh) 2010-04-30 2011-04-14 雙腔室處理系統
TW100113014A TWI646610B (zh) 2010-04-30 2011-04-14 雙腔室處理系統

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100113014A TWI646610B (zh) 2010-04-30 2011-04-14 雙腔室處理系統

Country Status (6)

Country Link
US (1) US20110265951A1 (zh)
JP (1) JP5885736B2 (zh)
KR (1) KR20130031236A (zh)
CN (1) CN102741975B (zh)
TW (2) TWI677930B (zh)
WO (1) WO2011137069A2 (zh)

Families Citing this family (461)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103122456A (zh) * 2011-11-18 2013-05-29 沈阳拓荆科技有限公司 一种双腔室或多腔室薄膜沉积设备的气体混合分配结构
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) * 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104124128B (zh) * 2013-04-24 2018-06-19 中微半导体设备(上海)有限公司 一种多腔室等离子处理装置及其压力测试方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE102013109696B3 (de) * 2013-09-05 2015-02-26 Von Ardenne Gmbh Beschichtungsverfahren und Beschichtungsvorrichtung
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015048470A1 (en) * 2013-09-30 2015-04-02 Applied Materials, Inc Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103757610B (zh) * 2014-01-29 2015-10-28 北京七星华创电子股份有限公司 一种基于物料供应系统模型的工艺环境压力调度方法
JP2015154034A (ja) * 2014-02-19 2015-08-24 株式会社東芝 成膜装置および成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP5808454B1 (ja) 2014-04-25 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
SG10201506020UA (en) * 2014-08-19 2016-03-30 Silcotek Corp Chemical vapor deposition system, arrangement of chemical vapor deposition systems, and chemical vapor deposition method
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016039940A1 (en) * 2014-09-12 2016-03-17 Applied Materials, Inc. Controller for treatment of semiconductor processing equipment effluent
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6545054B2 (ja) * 2014-10-20 2019-07-17 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104538334B (zh) * 2014-12-17 2017-08-08 中国地质大学(北京) 一种多功能等离子体腔室处理系统
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP5947435B1 (ja) 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10770272B2 (en) * 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10126790B2 (en) * 2016-05-05 2018-11-13 Applied Materials, Inc. Dual loop susceptor temperature control system
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10087523B2 (en) * 2016-05-20 2018-10-02 Lam Research Corporation Vapor delivery method and apparatus for solid and liquid precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US20180061679A1 (en) * 2016-08-25 2018-03-01 Applied Materials, Inc. Multi chamber processing system with shared vacuum system
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6667412B2 (ja) * 2016-09-30 2020-03-18 東京エレクトロン株式会社 基板処理装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP7017306B2 (ja) 2016-11-29 2022-02-08 株式会社日立ハイテク 真空処理装置
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6844263B2 (ja) * 2017-01-05 2021-03-17 東京エレクトロン株式会社 基板処理装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10679827B2 (en) 2017-01-25 2020-06-09 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP3707746B1 (en) * 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN109778143B (zh) * 2017-11-14 2021-05-07 北京北方华创微电子装备有限公司 一种沉积系统及其气体传输方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10509321B2 (en) * 2018-01-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature controlling apparatus and method for forming coating layer
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182866A1 (en) * 2018-03-22 2019-09-26 Applied Materials, Inc. Thermally stable flow meters for precision fluid delivery
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6896682B2 (ja) * 2018-09-04 2021-06-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20210053351A (ko) * 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20210071094A (ko) * 2018-11-19 2021-06-15 매슨 테크놀로지 인크 워크피스 처리를 위한 시스템 및 방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110408913B (zh) * 2019-08-26 2021-09-10 湖南红太阳光电科技有限公司 管式pecvd设备的压力控制装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111304637B (zh) * 2020-03-17 2024-04-12 常州捷佳创精密机械有限公司 镀膜生产设备
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
US20220020570A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Switchable delivery for semiconductor processing system
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11841715B2 (en) * 2020-10-22 2023-12-12 Applied Materials, Inc. Piezo position control flow ratio control
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113106422B (zh) * 2021-04-09 2022-03-22 北京北方华创微电子装备有限公司 等离子体增强原子层沉积设备及方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
TW202318493A (zh) * 2021-07-07 2023-05-01 美商英福康公司 用於沉積和蝕刻腔室的上游過程監視
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274507B1 (en) * 1998-01-09 2001-08-14 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US20050241763A1 (en) * 2004-04-30 2005-11-03 Zhisong Huang Gas distribution system having fast gas switching capabilities
US20060180026A1 (en) * 2005-02-16 2006-08-17 Youfan Gu Method and apparatus for preventing products of TiCL4 and NH3 or other feed gas reactions from damaging vacuum pumps in TiN or other deposition systems
TW200809417A (en) * 2006-06-27 2008-02-16 Sokudo Co Ltd Method and apparatus for multi-chamber exhaust control
US20080064227A1 (en) * 2006-09-07 2008-03-13 Jin-Sung Kim Apparatus For Chemical Vapor Deposition and Method For Cleaning Injector Included in the Apparatus
TW200814157A (en) * 2006-08-23 2008-03-16 Applied Materials Inc Overall defect reduction for PECVD films
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3225170B2 (ja) * 1993-10-22 2001-11-05 東京エレクトロン株式会社 真空処理装置
JPH07263350A (ja) * 1994-03-18 1995-10-13 Fujitsu Ltd 半導体製造方法
JPH07321047A (ja) * 1994-05-23 1995-12-08 Tokyo Electron Ltd 真空処理装置
JPH08127861A (ja) * 1994-10-28 1996-05-21 Tokyo Electron Ltd 真空処理装置
JPH09125227A (ja) * 1995-10-27 1997-05-13 Tokyo Electron Ltd 真空排気装置及び真空処理装置
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
JPH10247675A (ja) * 1997-03-04 1998-09-14 Toshiba Corp マルチチャンバシステム及びその搬送台車並びにゲートバルブさらにはその排気制御方法及びその装置
JPH10308383A (ja) * 1997-05-06 1998-11-17 Sony Corp 真空処理装置及び真空処理装置の駆動方法
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
JP2001176806A (ja) * 1999-12-16 2001-06-29 Sony Corp 半導体膜形成方法及び薄膜半導体装置の製造方法
US6306247B1 (en) * 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
JP2003049278A (ja) * 2001-08-06 2003-02-21 Canon Inc 真空処理方法及び真空処理装置
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
KR20080012628A (ko) * 2006-08-04 2008-02-12 삼성전자주식회사 기판 처리 장치
KR20090025823A (ko) * 2007-09-07 2009-03-11 한국표준과학연구원 이동없이 가능한 진공게이지의 교정/시험 장치 및 그 방법

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274507B1 (en) * 1998-01-09 2001-08-14 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US20050241763A1 (en) * 2004-04-30 2005-11-03 Zhisong Huang Gas distribution system having fast gas switching capabilities
US20060180026A1 (en) * 2005-02-16 2006-08-17 Youfan Gu Method and apparatus for preventing products of TiCL4 and NH3 or other feed gas reactions from damaging vacuum pumps in TiN or other deposition systems
TW200809417A (en) * 2006-06-27 2008-02-16 Sokudo Co Ltd Method and apparatus for multi-chamber exhaust control
TW200814157A (en) * 2006-08-23 2008-03-16 Applied Materials Inc Overall defect reduction for PECVD films
US20080064227A1 (en) * 2006-09-07 2008-03-13 Jin-Sung Kim Apparatus For Chemical Vapor Deposition and Method For Cleaning Injector Included in the Apparatus
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods

Also Published As

Publication number Publication date
TWI646610B (zh) 2019-01-01
JP5885736B2 (ja) 2016-03-15
KR20130031236A (ko) 2013-03-28
US20110265951A1 (en) 2011-11-03
CN102741975B (zh) 2015-12-02
TW201201311A (en) 2012-01-01
WO2011137069A3 (en) 2012-03-01
TW201818496A (zh) 2018-05-16
WO2011137069A2 (en) 2011-11-03
CN102741975A (zh) 2012-10-17
JP2013530516A (ja) 2013-07-25

Similar Documents

Publication Publication Date Title
TWI677930B (zh) 雙腔室處理系統
KR101451091B1 (ko) 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
TWI523131B (zh) 具共享真空泵的雙腔室處理系統
US20220259725A1 (en) Systems and methods for reducing effluent build-up in a pumping exhaust system
US20110266256A1 (en) Methods for processing substrates in process systems having shared resources
US20160097127A1 (en) Systems and methods for measuring entrained vapor
KR20150013085A (ko) 개선된 플루오르 활용 및 통합된 대칭적 전방선을 위한 페데스탈 하단 세정
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
TW201812844A (zh) 具有共享真空系統的多腔室處理系統
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
WO2019245909A1 (en) Temperature control systems and methods for removing metal oxide films
CN107104067B (zh) 流通管线充气容积部件
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
TW201925518A (zh) 清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體
US11959793B2 (en) Flow metrology calibration for improved processing chamber matching in substrate processing systems
US20230374657A1 (en) Vapor delivery device
TW202213585A (zh) 具有側向氣體噴射的基板處理腔室
CN116830251A (zh) 用于处理基板的方法及装置