KR101451091B1 - 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들 - Google Patents

기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들 Download PDF

Info

Publication number
KR101451091B1
KR101451091B1 KR1020127019810A KR20127019810A KR101451091B1 KR 101451091 B1 KR101451091 B1 KR 101451091B1 KR 1020127019810 A KR1020127019810 A KR 1020127019810A KR 20127019810 A KR20127019810 A KR 20127019810A KR 101451091 B1 KR101451091 B1 KR 101451091B1
Authority
KR
South Korea
Prior art keywords
flow
gas
flow controller
controller
conduit
Prior art date
Application number
KR1020127019810A
Other languages
English (en)
Other versions
KR20130025863A (ko
Inventor
제임스 피. 크루즈
존 더블유. 레인
마리우쉬 그레고르
덕 벅키우스
베린 다란
코리 린 콥
밍 수
앤드류 응우옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130025863A publication Critical patent/KR20130025863A/ko
Application granted granted Critical
Publication of KR101451091B1 publication Critical patent/KR101451091B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8158With indicator, register, recorder, alarm or inspection means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53022Means to assemble or disassemble with means to test work or product

Abstract

기판 프로세싱 시스템에서 복수의 가스 유동들을 캘리브레이팅하기 위한 장치 및 방법들이 본 명세서에 제공된다. 일부 실시예들에서, 기판 프로세싱 시스템은, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함하는 클러스터 툴; 제 1 프로세스 챔버에 프로세스 가스를 제공하는 제 1 유동 제어기; 제 2 프로세스 챔버에 프로세스 가스를 제공하는 제 2 유동 제어기; 제 1 유동 제어기 및 제 2 유동 제어기 각각으로부터 유량을 확인하는 질량 유동 확인기; 질량 유동 확인기에 제 1 유동 제어기를 선택적으로 커플링하는 제 1 도관; 및 질량 유동 확인기에 제 2 유동 제어기를 선택적으로 커플링하는 제 2 도관을 포함할 수 있다.

Description

기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들{METHODS AND APPARATUS FOR CALIBRATING FLOW CONTROLLERS IN SUBSTRATE PROCESSING SYSTEMS}
본 발명의 실시예들은 일반적으로 기판 프로세싱 장비(substrate processing equipment)에 관한 것이다.
에칭 프로세스와 같은 기판 프로세싱 동안, 프로세스 챔버의 내부 체적이 하나 또는 둘 이상의 프로세스 가스들에 노출될 수 있다. 흔히, 이러한 프로세스 가스들은, 내부 체적에 프로세스 가스들을 제공하는 하나 또는 둘 이상의 유동 제어기들(flow controllers)에 의해 제어된 희망 유량(desired flow rate)들로 제공된다. 예컨대, 공유 가스 패널들이 다수의 프로세스 챔버들에 프로세스 가스들을 공급하는 몇몇 프로세스 챔버 구성들에서, 본 발명자들은 공유 가스 패널로부터의 프로세스 가스들이 유동 제어기들에 의해 각각의 챔버로 정확하게 분할되는 중인 것을 확인하기 위한 어떠한 방법도 존재하지 않는다는 것을 발견하였다. 게다가, 본 발명자들은 통상적으로, 예를 들어, 시스템의 상이한 챔버들 상에서 유동 제어기들 사이의 드리프트를 검출하고 또는 드리프트를 비교하기 위해 각각의 챔버의 유동 제어기들을 모니터링하기 위한, 클러스터 툴과 같은, 멀티-챔버 기판 프로세싱 시스템상에서 이용가능한 어떠한 온-툴(on-tool) 장치도 존재하지 않는다는 사실을 관찰하였다.
따라서, 본 발명자들은 기판 프로세싱 시스템들에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들을 제공하였다.
기판 프로세싱 시스템에서 복수의 가스 유동들을 캘리브레이팅하기 위한 장치 및 방법들이 본 명세서에 제공된다. 일부 실시예들에서, 기판 프로세싱 시스템은, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함하는 클러스터 툴(cluster tool); 제 1 프로세스 챔버에 프로세스 가스를 제공하는 제 1 유동 제어기; 제 2 프로세스 챔버에 프로세스 가스를 제공하는 제 2 유동 제어기; 제 1 유동 제어기 및 제 2 유동 제어기 각각으로부터 유량(flow rate)을 확인하는 질량 유동 확인기(mass flow verifier); 질량 유동 확인기에 제 1 유동 제어기를 선택적으로 커플링하는 제 1 도관; 및 질량 유동 확인기에 제 2 유동 제어기를 선택적으로 커플링하는 제 2 도관을 포함할 수 있다.
일부 실시예들에서, 기판 프로세싱 시스템은, 제 1 프로세스 챔버의 제 1 구역에 프로세스 가스를 제공하는 제 1 유동 제어기; 제 2 프로세스 챔버의 제 2 구역에 프로세스 가스를 제공하는 제 2 유동 제어기; 제 1 유동 제어기 및 제 2 유동 제어기 각각으로부터 유량을 확인하는 질량 유동 확인기; 질량 유동 확인기에 제 1 유동 제어기를 선택적으로 커플링하는 제 1 도관; 및 질량 유동 확인기에 제 2 유동 제어기를 선택적으로 커플링하는 제 2 도관을 포함할 수 있다.
일부 실시예들에서, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함하는 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법이 제공된다. 일부 실시예들에서, 이 방법은, 제 1 프로세스 챔버에 커플링된 제 1 유동 제어기로부터 제 1 유량으로 제 1 가스를 제공하는 단계; 제 1 가스를 제 1 도관을 통해 질량 유동 확인기로 전환시키는 (diverting) 단계; 질량 유동 확인기를 이용하여 제 1 유량을 결정하는 단계; 제 2 프로세스 챔버에 커플링된 제 2 유동 제어기로부터 제 2 유량으로 제 2 가스를 제공하는 단계; 제 2 가스를 제 2 도관을 통해 질량 유동 확인기로 전환시키는 단계; 및 질량 유동 확인기를 이용하여 제 2 유량을 결정하는 단계를 포함할 수 있다.
일부 실시예들에서, 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법이 제공된다. 일부 실시예들에서, 이 방법은, 제 1 프로세스 챔버의 제 1 구역에 커플링된 제 1 유동 제어기에 의해 질량 유동 확인기에 제 1 가스를 제공하는 단계; 질량 유동 확인기를 이용하여 제 1 가스의 제 1 유량을 결정하는 단계; 제 1 프로세스 챔버의 제 2 구역에 커플링된 제 2 유동 제어기에 의해 질량 유동 확인기에 제 2 가스를 제공하는 단계; 및 질량 유동 확인기를 이용하여 제 2 가스의 제 2 유량을 결정하는 단계를 포함할 수 있으며, 제 1 유동 제어기는 제 1 구역에 제 1 가스를 제공할 수 있는 반면, 제 2 유동 제어기는 질량 유동 확인기에 제 2 가스를 제공한다.
이하, 본 발명의 다른 실시예들 및 추가적인 실시예들이 설명된다.
첨부된 도면들에 도시된 본 발명의 예시적인 실시예들을 참조하여, 위에서 약술되고 아래에 보다 구체적으로 논의되는 본 발명의 실시예들을 이해할 수 있을 것이다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들만을 도시하고 있을 뿐이므로, 본 발명의 범위를 제한하는 것으로 이해되어서는 아니됨을 유의하여야 하는데, 이는 본 발명이 다른 동등한 효과를 가진 실시예들을 포함할 수 있기 때문이다.
도 1 및 도 1a는 본 발명의 일부 실시예들에 따른 멀티 챔버 기판 프로세싱 시스템의 개략적인 평면도를 도시한다.
도 2는 본 발명의 일부 실시예들에 따른 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법의 흐름도를 도시한다.
도 3은 본 발명의 일부 실시예들에 따른 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법의 흐름도를 도시한다.
이해를 용이하게 하기 위하여, 가능한 한, 도면들에서 공통된 동일한 엘리먼트들을 지정하기 위해 동일한 참조번호들이 사용되었다. 도면들은 척도에 따라 도시되지는 않았으며, 명료함을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들과 특징들이 추가 언급없이 다른 실시예들에 유리하게 통합될 수 있음이 고려된다.
기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들이 본 명세서에 개시된다. 유리하게 본 발명에 따른 방법들 및 장치는 하나 또는 둘 이상의 유동 제어기들에 의해 제공된 하나 또는 둘 이상의 유량들의 측정을 다양한 구성들로 하나 또는 둘 이상의 프로세스 챔버들에 커플링된 다른 유동 제어기들에 대해 및 기준 표준(예컨대, 질량 유동 확인기) 모두에 대해 직접 비교하여, 용이하게 한다. 따라서, 본 발명에 따른 시스템들 및 방법들은 유리하게 각각의 유동 제어기들을 캘리브레이팅하기 위해 요구되는 시간을 단축하고, 유동 제어기 측정들 사이에 향상된 균일성을 제공하여, 이에 따라, 향상된 챔버 매칭(예컨대, 유사한 프로세스 조건들 하에서 동작하는 2개의 서로 다른 챔버들 사이의 프로세스 결과들의 향상된 균일성)을 용이하게 한다.
도 1을 참조하면, 일부 실시예들에서, 클러스터 툴, 또는 멀티 챔버 프로세싱 시스템(100)은 일반적으로 팩토리 인터페이스(102), 진공-기밀 프로세싱 플랫폼(104), 및 시스템 제어기(144)를 포함할 수 있다. 본 명세서에 제공된 개념들(teachings)에 따라 적절하게 변형될 수 있는 프로세싱 시스템의 예들은, 캘리포니아주 산타 클라라에 소재한 Applied Materials, Inc.로부터 상업적으로 입수할 수 있는 Centura® 통합 프로세싱 시스템, (PRODUCER® GTTM과 같은) 프로세싱 시스템들의 PRODUCER® 라인 중 하나, ADVANTEDGETM 프로세싱 시스템들, 또는 다른 적합한 프로세싱 시스템들을 포함한다. (다른 제조사들의 시스템들을 포함하여) 다른 프로세싱 시스템들이 본 발명으로부터 이득을 얻도록 구성될 수 있음이 고려된다. 본 명세서의 개념들에 따라 본 발명을 통합하도록 변형될 수 있는 트윈 챔버 프로세싱 시스템의 일 예가 밍 유(Ming Xu) 등에 의해 "트윈 챔버 프로세싱 시스템"이란 명칭으로 2010년 4월 30일자로 출원된 미국 가특허 출원번호 제61/330,156호에 기재되어 있다.
플랫폼(104)은 (6개가 도시된) 복수의 프로세싱 챔버들(110, 111, 112, 132, 128, 120)과, 이송 챔버(136)에 커플링된 (2개가 도시된) 적어도 하나의 로드 락 챔버(122)를 포함할 수 있다. 각각의 프로세스 챔버는 이송 챔버(136)의 내부 체적에 프로세스 챔버들의 개별 내부 체적들을 선택적으로 유체 커플링하기 위해 슬릿 밸브 또는 다른 선택적으로 밀폐가능한 개구를 포함한다. 마찬가지로, 각각의 로드 락 챔버(122)는 이송 챔버(136)의 내부 체적에 로드 락 챔버들(122)의 개별 내부 체적들을 선택적으로 유체 커플링하기 위해 포트(125)를 포함한다. 팩토리 인터페이스(102)는 로드 락 챔버들(122)을 통해 이송 챔버(136)에 커플링된다.
일부 실시예들에서, 예컨대, 도 1에 도시된 바와 같이, 프로세싱 챔버들(110, 111, 112, 132, 128, 120)은 쌍으로 그룹화될 수 있고, 이때 각 쌍의 프로세싱 챔버들(110과 111, 112와 132, 및 128과 120)은 서로에 대해 근처에 위치된다. 일부 실시예들에서, 프로세스 챔버들의 각각의 쌍은 트윈 챔버 프로세싱 시스템(101, 103, 105)의 일부일 수 있으며, 이 경우 프로세스 챔버들의 각각의 개별 쌍은 본 명세서에 논의된 바와 같이, 특정 공유 리소스들을 구비한 공통 하우징 내에 제공될 수 있다. 각각의 트윈 챔버 프로세싱 시스템(101, 103, 105)은 서로로부터 격리될 수 있는 한 쌍의 독립적인 프로세싱 체적들을 포함할 수 있다. 예컨대, 각각의 트윈 챔버 프로세싱 시스템은 개별적인 제 1 프로세싱 체적 및 제 2 프로세싱 체적을 갖는 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함할 수 있다. 제 1 및 제 2 프로세싱 체적들은 각각의 개별적인 프로세스 챔버에서 기판들의 실질적으로 독립적인 프로세싱을 용이하게 하기 위해 서로로부터 격리될 수 있다. 트윈 챔버 프로세싱 시스템 내에서 프로세스 챔버들의 격리된 프로세싱 체적들은 프로세싱 동안 프로세싱 체적들이 유체 커플링된 멀티 기판 프로세싱 시스템들로 인해 발생할 수 있는 프로세싱 문제점들을 유리하게 저감시키거나 제거한다.
트윈 챔버 프로세싱 시스템은, 시스템 풋프린트의 축소, 하드웨어 경비, 시설 활용 및 비용, 유지보수 등을 용이하게 하면서도 동시에 더 높은 기판 처리량을 촉진하는 공유 리소스들을 또한 유리하게 사용한다. 예컨대, 도 1에 도시된 바와 같이, 프로세싱 리소스들(146A, 146B, 146C: 통합하여 146이라 함)(즉, 프로세스 가스 공급부, 전력 공급부, 진공 펌핑 시스템들 등)이 각각의 프로세싱 챔버들(110과 111, 112와 132, 및 128과 120) 사이에 및/또는 각각의 트윈 프로세싱 시스템(101, 103, 105)의 프로세싱 챔버의 각각의 쌍 내에서 각각 공유될 수 있도록, 프로세스 챔버들이 구성될 수 있다. 공유 하드웨어 및/또는 리소스들의 다른 예들은 프로세스 포어라인(foreline)과 러핑 펌프, 교류(AC) 분배기와 직류(DC) 전력 공급부들, 냉각수 분배기, 냉각기들, 멀티 채널 열 제어기들, 가스 패널들, 제어기들 등 중 하나 또는 둘 이상을 포함할 수 있다.
일부 실시예들에서, 팩토리 인터페이스(102)는 기판들의 이송을 용이하게 하기 위해 적어도 하나의 도킹 스테이션(108)과 적어도 하나의 팩토리 인터페이스 로봇(114)(2개가 도시되어 있음)을 포함한다. 도킹 스테이션(108)은 하나 또는 둘 이상의(2개가 도시되어 있음) 전면 개방 일체식 포드들(FOUPs)(106A, 106B)을 수용하도록 구성된다. 일부 실시예들에서, 일반적으로, 팩토리 인터페이스 로봇(114)은 로드 락 챔버들(122)을 통해서 프로세싱하기 위해 팩토리 인터페이스(102)로부터 프로세싱 플랫폼(104)으로 기판들을 이송하도록 구성된 로봇(114)의 일단에 배치된 블레이드(116)를 포함한다. 선택적으로, FOUP들(106A, 106B)로부터의 기판들의 측정을 용이하게 하기 위하여, 하나 또는 둘 이상의 계측 스테이션들(118)이 팩토리 인터페이스(102)의 터미널(126)에 연결될 수 있다.
일부 실시예들에서, 로드 락 챔버들(122) 각각은 팩토리 인터페이스(102)에 커플링된 제 1 포트(123)와 이송 챔버(136)에 커플링된 제 2 포트(125)를 포함할 수 있다. 로드 락 챔버들(122)은 팩토리 인터페이스(102)의 실질적으로 주변(예컨대, 대기) 분위기와 이송 챔버(136)의 진공 분위기 사이에서 기판들의 통과를 용이하게 하기 위해 로드 락 챔버들(122)을 펌핑 다운하고 배기하는 압력 제어 시스템에 커플링될 수 있다.
일부 실시예들에서, 이송 챔버(136)는 그 내부에 배치된 진공 로봇(130)을 갖는다. 일반적으로, 진공 로봇(130)은 이동식 암(131)에 커플링된 (2개가 도시된)하나 또는 둘 이상의 이송 블레이드들(134)을 포함한다. 예컨대, 일부 실시예들에서, 프로세싱 챔버들(110, 111, 112, 132, 128, 120)이 도 1에 도시된 바와 같이 2개의 그룹들로 배열된 예시의 경우, 진공 로봇(130)이 동시에 2개의 기판들(124, 126)을 로드 락 챔버들(122)로부터 프로세싱 챔버들의 각각의 쌍(예컨대, 110과 111, 112와 132, 및 120과 128)으로 이송할 수 있도록 구성된 2개의 평행한 이송 블레이드들(134)을 진공 로봇(130)이 가질 수 있다.
프로세싱 챔버들(110, 111, 112, 132, 120, 128)은 기판 프로세싱에 활용되는 임의의 유형의 프로세스 챔버일 수 있다. 그러나, 공유 리소스들을 활용하기 위하여, 프로세싱 챔버들의 각각의 쌍은 에칭 챔버, 증착 챔버 등과 같이 동일한 유형의 챔버이다. 본 명세서에 제공된 개념들에 따라 변형될 수 있는 적합한 에칭 챔버들의 비제한적인 예들은, 캘리포니아주 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수할 수 있는 챔버들의 분리형 플라즈마 소오스(DPS) 라인, HARTTM, E-MAX® 또는 ENABLER® 에칭 챔버 중 임의의 것을 포함한다. 다른 제조사들의 것들을 포함하여 다른 에칭 챔버들이 사용될 수 있다.
프로세싱 챔버들(110과 111, 112와 132, 및 120과 128)의 각각의 쌍은 공유 리소스들(146A, 146B 또는 146C)을 가질 수 있다. 예컨대, 일부 실시예들에서, 공유 리소스들은 하기된 바와 같이 프로세스 가스를 제공하기 위한 (예컨대, 도 1에 도시된 바와 같이, 공유 리소스들(146B, 146C)을 위한) 공유 가스 패널을 포함할 수 있다. 또한, 공유 리소스들은 인접한 챔버와 조합하여 또는 개별적으로 각각의 프로세스 챔버를 펌핑 다운하기 위한 공유 진공 펌프를 포함할 수 있다. 대안적으로, 또는 공유 진공 펌프와 조합하여, 각각의 프로세스 챔버는 각각의 프로세스 챔버의 내부 체적을 펌핑 다운하기 위한 개별 진공 펌프(미도시)를 포함할 수 있다.
일부 실시예들에서, 공유 리소스들은 프로세스 챔버들 사이에 공유 가스 패널을 포함한다. 예컨대, 공유 리소스(146B)는 도 1에 도시된 바와 같이 프로세스 챔버(112)와 프로세스 챔버(132) 사이에 공유된 공유 가스 패널(150)을 포함한다. 공유 가스 패널(150)은, 예컨대, 복수의 유동 제어기들 및 관련 장치를 통해서 프로세스 챔버들(112, 132)에 커플링된 복수의 프로세스 가스 소오스들을 포함할 수 있다. 본 명세서에 사용된 바와 같이, 용어 "유동 제어기들"은, 질량 유동 제어기들, 유동비 제어 디바이스들, 유동 제어 오리피스들 등과 같이, 유동 제어기를 통해서 흐르는 가스 또는 가스들의 유동의 레이트(rate of flow)를 제어하기 위한 임의의 장치를 지칭한다. 예컨대, 공유 가스 패널(150)의 각각의 가스 소오스는 소정의 유량으로 가스 소오스로부터의 가스를 계측하는 질량 유동 제어기에 커플링될 수 있다. 예컨대, 가스 패널(150)의 제 1 프로세스 가스 소오스(미도시)로부터의 제 1 프로세스 가스는 유동 제어기(152)를 통해서 계측될 수 있고, 공유 가스 패널(150)의 제 2 가스 소오스(미도시)로부터의 제 2 프로세스 가스는 유동 제어기(154)를 통해서 계측될 수 있다. 제 1 프로세스 가스 및 제 2 프로세스 가스는 유동 제어기들(152, 154)을 빠져 나와, 각각의 유동 제어기(152, 154)의 개별 출구들에 커플링될 수 있는 혼합기(156)로 유입될 수 있다. 제 1 프로세스 가스 및 제 2 프로세스 가스는 유동 제어기들(152, 154)에 의해 계측된 각각의 프로세스 가스의 개별적인 양들과 관련된 비율로 혼합기(156)에서 혼합되거나 또는 균질화될 수 있다.
혼합기(156)의 출구로부터, 혼합된 제 1 및 제 2 프로세스 가스들은, 멀티 채널 유동비 제어기(multi-channel flow ratio controller), 또는 각각의 프로세스 챔버에 개별적으로 제공된 가스를 제어할 수 있는 다른 유사한 장치를 통해, 프로세스 챔버들(112, 132)로 그리고 선택적으로 주어진(given) 프로세스 챔버 내부의 2개 또는 3개 이상의 구역들로 분배될 수 있다. 예컨대, 멀티 채널 유동비 제어기(158)는 혼합기의 출구로부터의 혼합된 제 1 및 제 2 프로세스 가스들을 수용하기 위한 공유 유입구(160)를 포함할 수 있으며, 질량 유동 제어기들, 유동비 제어기들, 고정된 오리피스들, 등 또는 이들의 조합들과 같은 하나 또는 둘 이상의 유동 제어기들을 통해 혼합된 제 1 및 제 2 프로세스 가스들을 프로세스 챔버들(112, 132)에 분배할 수 있다. 도 1에 도시된 실시예에서, 유동 제어기들(162, 164, 166, 168)의 두 쌍들이 도시되어 있으며, 이때 유동 제어기들의 제 1 쌍(예컨대, 162, 164)은 프로세스 챔버(112)에 커플링되고, 유동 제어기들의 제 2 쌍(예컨대, 166, 168)은 프로세스 챔버(132)에 커플링되어 있다.
멀티 채널 유동비 제어기(158)는, 예컨대, 혼합된 제 1 및 제 2 프로세스 가스를 각각의 프로세스 챔버(112, 132)에 각각 제공하는 하나 또는 둘 이상의 유동 제어기들(도 1에서 각각의 챔버에 2개의 유동 제어기들이 커플링됨)을 포함할 수 있다. 예컨대, 유동 제어기들(162, 164)은 프로세스 챔버(112)에 혼합된 제 1 및 제 2 프로세스 가스를 제공하고, 유동 제어기들(164, 166)은 프로세서 챔버(132)에 혼합된 제 1 및 제 2 프로세스 가스를 제공한다. 유동 제어기들의 각각의 쌍, 예컨대, 유동 제어기들(162, 164)은 각각의 프로세스 챔버, 예컨대, 프로세스 챔버(112)에 혼합된 제 1 및 제 2 프로세스 가스를 서로 다른 유량들로 제공할 수 있다. 예컨대, 유동 제어기(162)는 유동 제어기(164)에 의해 프로세스 챔버(112)의 제 2 유입구(172)를 통해 제공되는 것과는 상이한 레이트로 혼합된 제 1 및 제 2 프로세스 가스를 프로세스 챔버(112)의 제 1 유입구(170)를 통해 제공할 수 있다. 예컨대, 제 1 및 제 2 유입구들(170, 172)은 샤워헤드(미도시)의 내부 구역 및 외부 구역, 가스 유입구들의 서로 다른 구역들 등일 수 있다.
멀티 챔버 기판 프로세싱 시스템(100)은 전술한 각각의 유동 제어기들 및 시스템(100)에서의 유량 확인에 필요한 추가적인 임의의 유동 제어기로부터의 유량을 확인하기 위한 질량 유동 확인기(174)를 더 포함한다. 예컨대, 이러한 추가적인 유동 제어기들은 공유 리소스(146A) 또는 공유 리소스(146C)의 일부일 수 있다. 공유 리소스들(146A 및 146C)은 공유 리소스(146B)에 대해 전술한 것과 유사한 공유 가스 패널 및 유동 제어기 구조를 가질 수 있다.
질량 유동 확인기(174)는 질량 유동 제어기에 의해 제공된 가스의 유량을 확인하기 위한 임의의 적합한 장치일 수 있다. 이러한 질량 유동 확인기들은, 예컨대, 소정 시간 주기에 걸쳐서 공지의 체적에서의 압력 상승률을 모니터링함으로써, 또는 질량 유동 확인기(174)에 의해 모니터링되고 있는 임의의 유동 제어기의 유량을 독립적으로 확인하기 위한 몇몇 다른 적합한 방법에 의해, 동작할 수 있다. 일부 실시예들에서, 질량 유동 확인기(174)는 트윈 챔버 프로세싱 시스템들(101, 103, 105) 중 하나에 장착될 수 있다. 일부 실시예들에서, 질량 유동 확인기(174)는 멀티 챔버 프로세싱 시스템(100)의 이송 챔버(136)에, 또는 멀티 챔버 프로세싱 시스템(100)의 각각의 프로세스 챔버들에 커플링된 각각의 유동 제어기들에 커플링되도록 하기 위한 일부 다른 적합한 위치에 장착될 수 있다.
질량 유동 확인기(174)는 유동 제어기의 출구 아래(예컨대, 하류)에 배치된 개별 도관들에 의해 각각의 유동 제어기에 선택적으로 커플링될 수 있다. 프로세스 가스들이 함께 혼합되는 매니폴드로부터 나오는 단일의 도관에 의해서가 아니라, 개별적인 도관들을 통해 질량 유동 확인기에 각각의 유동 제어기를 선택적으로 커플링함으로써, 본 발명에 따른 장치는 유동 제어기들 중 하나로부터의 유동을 확인 또는 캘리브레이팅하면서, 다른 유동 제어기들이 프로세스 가스들을 제공하는 능력에 영향을 주지 않고 각각의 유동 제어기의 독립적인 확인 및/또는 캘리브레이션을 용이하게 한다.
각각의 도관은, 개별적인 유동 제어기를 프로세스 챔버 또는 질량 유동 확인기(174)에 선택적으로 커플링할 수 있는 다방향 밸브(미도시) 등에 의해, 유동 제어기의 하류 위치에서 주어진 유동 제어기에 개별적으로 커플링될 수 있다. 예컨대, 유동 제어기의 유량을 확인하는 것이 바람직한 경우, 유동 제어기의 출구로부터 프로세스 챔버를 향해서 정상적으로 흐르게 될 프로세스 가스는 질량 유동 확인기(174)로 흐르도록 다방향 밸브에 의해 도관으로 전환될 수 있다. 확인 기간 동안, 확인되는 중인 유동 제어기는 프로세스 챔버에 프로세스 가스를 제공하지 않지만, 시스템(100)의 모든 다른 유동 제어기들은, 예컨대, 기판 등을 프로세싱하기 위해 프로세스 챔버에 프로세스 가스를 계속 제공할 수 있다. 일부 실시예들에서, 질량 유동 확인기(174)를 통해 유동시킬 필요 없이 도관들이 신속하게 배기될 수 있도록, 질량 유동 확인기(174) 주위로 유동이 바이패스될 수 있으며, 이에 따라, 확인되는 중인 상이한 가스 유동들 사이에서 가스 전환 퍼징(gas switchover purging)을 가속화할 수 있다.
예컨대, 개별 유체 제어기들을 질량 유동 확인기(174)에 커플링하는 수개의 도관들이 도 1에 도시되어 있다. 몇몇 도관들은 명료함을 위해 도 1에서 생략된다. 그러나, 프로세스 시스템(100)의 각각의 유동 제어기는 주어진 유동 제어기를 질량 유동 확인기(174)에 커플링하는 도관을 가질 수 있다. 예컨대, 도관(176)은 유동 제어기(152)를 질량 유동 확인기(174)에 커플링한다. 유사한 도관(미도시)은 유동 제어기(154)를 질량 유동 확인기(174)에 커플링한다. 마찬가지로, 도관들(178, 180)은 질량 유동 확인기(174)를 개별적인 유동 제어기들(162, 166)에 커플링하며, 이는 인접한 프로세스 챔버(112, 132)에서 대응하는 영역들 또는 구역들에 대해 유사한(또는 동일한) 가스 유동들을 제공할 수 있다. 또한, 도관들은 동일한 챔버에 프로세스 가스를 제공하는 다수의 유동 제어기들에 커플링될 수 있다. 예컨대, 도관(180)은 유동 제어기(166)를 질량 유동 확인기(174)에 커플링하며, 도관(182)은 유동 제어기(168)를 질량 유동 확인기(174)에 커플링하고, 이 경우 유동 제어기들(166, 168)은 프로세스 챔버(132)에 프로세스 가스를 제공한다.
일부 실시예들에서, 시스템(100)의 개별적인 유동 제어기들을 질량 유동 확인기(174)에 커플링하는 모든 도관들은 실질적으로 등가이거나 또는 거의 동일한 유동 컨덕턴스(conductance)를 가질 수 있다. 본 명세서에서 사용된 바와 같은, 실질적으로 등가(또는 거의 동일)는 약±10%의 컨덕턴스 편차를 포함한다. 대안적으로, 그리고 일부 실시예들에서, 상이한 프로세스 챔버들의 대응 영역들(예컨대, 각각의 프로세스 챔버의 제 1 구역, 각각의 프로세스 챔버의 제 2 구역 등)에 프로세스 가스들을 제공하는 유동 제어기들을 커플링하는 도관들은 실질적으로 유사하거나 또는 거의 동일한 유동 컨덕턴스를 가질 수 있다. 예컨대, 인접한 프로세스 챔버들(112, 132)에 유동 제어기들(162, 166)을 커플링하는 도관들(178, 180)은 실질적으로 유사하거나 거의 동일한 유동 컨덕턴스를 가질 수 있으며, 이에 따라, 각각의 유동 제어기(162, 166)의 유량 비교가 질량 유동 확인기(174)에 의해 이루어질 수 있다. 마찬가지로, 유동 제어기(152)를 질량 유동 확인기(174)에 커플링하는 도관(176)과 유동 제어기(186)를 질량 유동 확인기에 커플링하는 도관(184)은 실질적으로 유사하거나 거의 동일한 유동 컨덕턴스를 가질 수 있다. 이전의 예에서, 유동 제어기(186)는, 유동 제어기(152)가 트윈 챔버 프로세싱 시스템(105)에 제공하는 것과 동일한 프로세스 가스를 트윈 챔버 프로세싱 시스템(103)에 제공하는 유동 제어기이다.
대안적으로, 또는 실질적으로 유사한 유동 컨덕턴스를 갖는 모든 도관들 또는 도관들의 쌍들과 조합하여, 질량 유동 확인기(174)는, 예컨대, 도관으로부터 질량 유동 확인기(174)로 유입되는 프로세스 가스의 유량이 해당 도관의 유동 컨덕턴스와 무관한 초킹된 유동(choked flow)을 위해 구성될 수 있다. 예컨대, 질량 유동 확인기(174)는 질량 유동 확인기(174)의 유입구에 배치된 임계 유동 노즐(188)을 더 포함할 수 있으며, 이에 따라, 프로세스 가스는 질량 유동 확인기(174)로 유입될 때 임계 유동 노즐을 통해서 흐른다. 임계 유동 노즐(188)은, 예를 들면 유입구 및 배출구 홀 직경, 길이, 형상 등에 기초하여, 가스의 기원이 된 도관에서의 유동 컨덕턴스와는 무관하게 임계 유동 노즐(188)로 유입되는 임의의 가스의 유량을 정상화하도록 구성될 수 있다. 예컨대, 임계 유동 노즐은, 임계 유동 노즐에 걸쳐서 압력 강하가 그 압력의 적어도 절반들이 되도록 하는 제약을 제공할 수 있다(예컨대, 임계 유동 노즐의 바로 상류의 제 1 압력이 그 임계 유동 노즐의 바로 하류의 제 2 압력보다 적어도 2배이다). 대안적으로, 또는 모든 도관들 또는 도관 쌍들이 실질적으로 유사한 유동 컨덕턴스를 갖도록 하거나, 및/또는 질량 유동 확인기(174)가 초킹된 유동을 위해 구성되는 것과 조합하여, 일부 실시예들에서, 하류의 컨덕턴스는 더 높은 유량들이 사용될 수 있도록 하는 더 낮은 기준라인 압력을 제공하기 위해 최소화될 수 있다.
시스템 제어기(144)는 프로세싱 시스템(100) 또는 그 부품들을 제어하기 위해 프로세싱 시스템(100)에 커플링된다. 예컨대, 시스템 제어기(144)는, 시스템(100)의 프로세스 챔버들(110, 111, 112, 132, 128, 120)의 직접 제어를 이용하여, 또는, 대안적으로, 시스템(100) 및 프로세스 챔버들(110, 111, 112, 132, 128, 120)과 연관된 컴퓨터들(또는 제어기들)을 제어함으로써, 시스템(100)의 동작을 제어할 수 있다. 동작시, 시스템 제어기(144)는 시스템(100)의 성능을 최적화하기 위해 개별적인 챔버들과 시스템 제어기(144)로부터의 데이터 수집과 피드백을 가능하게 한다.
시스템 제어기(144)는 일반적으로 중앙처리장치(CPU)(138), 메모리(140) 및 지원 회로들(142)을 포함한다. CPU(138)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리 또는 컴퓨터 판독가능한 매체(140)는 CPU(138)에 의해 액세스가능하며, 로컬 또는 원격의, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크 또는 임의의 다른 형태의 디지털 저장장치와 같은 용이하게 입수할 수 있는 메모리 중 하나 또는 둘 이상일 수 있다. 지원 회로들(142)은 통상적으로 CPU(138)에 커플링되며, 캐시, 클록 회로들, 입/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. CPU(138)에 의해 실행될 때, 프로세스 챔버들의 쌍이 본 발명에 따른 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 본 명세서에 개시된 본 발명에 따른 방법들이 메모리(140)(또는 하기된 바와 같이 특정 프로세스 챔버 쌍의 메모리)에 일반적으로 저장될 수 있다.
상기 장치는 다양한 방식들로 복수의 유동 제어기들을 캘리브레이팅하기 위해 사용될 수 있다. 예컨대, 일부 실시예들에서, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함하는 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법은, 제 1 프로세스 챔버에 커플링된 제 1 유동 제어기로부터 제 1 유량으로 제 1 가스를 제공하는 단계; 제 1 가스를 제 1 도관을 통해서 질량 유동 확인기로 전환시키는 단계; 질량 유동 확인기를 이용하여 제 1 유량을 결정하는 단계; 제 2 프로세스 챔버에 커플링된 제 2 유동 제어기로부터 제 2 유량으로 제 2 가스를 제공하는 단계; 제 2 가스를 제 2 도관을 통해서 질량 유동 확인기로 전환시키는 단계; 및 질량 유동 확인기를 이용하여 제 2 유량을 결정하는 단계를 포함할 수 있다.
일부 실시예들에서, 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법은, 제 1 프로세스 챔버의 제 1 구역에 커플링된 제 1 유동 제어기에 의해 질량 유동 확인기에 제 1 가스를 제공하는 단계; 질량 유동 확인기를 이용하여 제 1 가스의 제 1 유량을 결정하는 단계; 제 1 프로세스 챔버의 제 2 구역에 커플링된 제 2 유동 제어기에 의해 질량 유동 확인기에 제 2 가스를 제공하는 단계; 및 질량 유동 확인기를 이용하여 제 2 가스의 제 2 유량을 결정하는 단계를 포함할 수 있으며, 제 1 유동 제어기는 제 1 구역에 제 1 가스를 제공할 수 있는 반면, 제 2 유동 제어기는 질량 유동 확인기에 제 2 가스를 제공하고 있다. 일부 실시예들에서, 제 1 가스 및 제 2 가스는 희망 유동비를 얻기 위해 의도된 개별 유량들로 제공될 수 있다. 제 1 유량과 제 2 유량 사이의 실제 유동비는 개별적인 제 1 유량 및 제 2 유량의 결정에 기초하여 결정될 수 있으며, 희망 유량비가 제공되었는지의 여부를 결정하기 위해 실제 유동비는 희망 유량비와 비교될 수 있다.
도 2는 본 발명의 일부 실시예들에 따른 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법(200)의 흐름도를 도시한다. 도 1에 기재된 본 발명의 장치에 따라 하기에서 방법(200)을 설명할 것이다.
단계(202)에서, 제 1 프로세스 챔버에 커플링된 제 1 유동 제어기에 의해 제 1 가스가 제 1 유량으로 질량 유동 확인기에 제공될 수 있다. 예컨대, 제 1 유동 제어기는 전술한 바와 같이 시스템(100)의 임의의 유동 제어기일 수 있으나, 트윈 챔버 프로세스 시스템(105)의 유동 제어기들(162, 166 및 168)과 관련하여 방법(200)을 아래에서 설명할 것이다. 따라서, 방법(200)을 논의하기 위한 목적으로, 제 1 유동 제어기는 전형적인 동작 조건들 하에서 제 1 가스를 프로세스 챔버(132)에 제공할 수 있는 유동 제어기(166)일 수 있지만; 그러나, 유동 확인 동안, 제 1 가스는 전술한 바와 같이 도관(180)을 통해서 질량 유동 확인기(174)로 전환된다. 예컨대, 전형적인 동작 조건들 동안, 유동 제어기(166)는 제 1 유입구(171)를 통해 제 1 가스를 프로세스 챔버에 제공하며, 이 경우 제 1 유입구(171)는 프로세스 챔버(112)에 대해 전술한 바와 같이 제 1 유입구(170)와 실질적으로 동등하다.
단계(204)에서, 질량 유동 확인기(174)를 이용하여 유동 제어기(166)의 제 1 유량이 결정될 수 있다. 예컨대, 제 1 유량은 공지의 체적에서의 압력 상승률을 이용하여 또는 유사한 확인 방법을 이용하여 결정될 수 있다. 전술한 바와 같이, 질량 유동 확인기(174)는 초킹된 유동을 위해 구성될 수 있으며, 또는 각각의 도관의 유동 컨덕턴스가 공지될 수 있거나 실질적으로 유사할 수 있다. 예컨대, 질량 유동 확인기(174)에 의해 결정된 바와 같은 제 1 유량이 유동 제어기(166)에 의해 판독된 바와 같은 제 1 유량과 실질적으로 상이하면, 유동 제어기(166)는 질량 유동 확인기(174)에 의해 결정된 제 1 유량에 기초하여 캘리브레이팅 중일 수 있다. 예컨대, 일부 실시예들에서, 유동 제어기(166)에 의해 판독된 바와 같은 제 1 유량과 결정된 제 1 유량 간에 약 1% 내지 약 5% 범위의 차이가 존재하였으면, 유동 제어기(166)는 캘리브레이션을 필요로 할 수 있다. 예컨대, 그 차이가 약 1% 미만이면, 유동 제어기(166)는 동작을 위한 조건에 있는 것으로 간주될 수 있다. 그 차이가 약 5%를 초과하면, 유동 제어기(166)는 교체를 필요로 할 수 있다.
단계(206)에서, 질량 유동 확인기(174)에 의해 유동 제어기(166)의 제 1 유량이 결정된 후, 질량 유동 확인기(174)에서 제 1 가스가 제거될 수 있다. 예컨대, 도관(180)으로부터 다시 프로세스 챔버(132)로 제 1 가스를 전환시킴으로써, 및/또는 유동 제어기(166)를 턴 오프함으로써, 질량 유동 확인기(174)에서 제 1 가스가 제거될 수 있다. 도관(180)을 통한 제 1 가스의 유동이 중단된 후, 제 1 가스는 시스템(100)에 또는 그 일부에 커플링된 진공 펌프 등(미도시)에 의해 질량 유동 확인기(174)의 출구(미도시)를 통해 제거될 수 있다.
단계(208)에서, 제 2 프로세스 챔버에 커플링된 제 2 유동 제어기에 의해 제 2 가스가 제 2 유량으로 질량 유동 확인기(174)에 제공될 수 있다. 예컨대, 제 2 유동 제어기는 전형적인 동작 조건들 하에서 제 2 가스를 프로세스 챔버(112)에 제공할 수 있는 유동 제어기(162)일 수 있지만; 그러나, 유동 확인 동안, 제 2 가스는 전술한 바와 같이 도관(178)을 통해 질량 유동 확인기(174)로 전환하게 된다. 일부 실시예들에서, 제 2 가스는 제 1 가스와 실질적으로 등가일 수 있다. 일부 실시예들에서, 제 2 유량은 제 1 유량과 실질적으로 등가일 수 있다. 일부 실시예들에서, 유동 제어기(162)의 유량이 질량 유동 확인기(174)에 의해 확인중인 동안, 유동 제어기(166)는 프로세스 챔버(132)에 제 1 가스를 제공중일 수 있다. 일부 실시예들에서, 유동 제어기(162)의 유량이 확인중일 때, 유동 제어기(166)는 턴 오프될 수 있다. 유사하게 유동 제어기(166)가 전술한 바와 같이 단계(202 및 204)에서 확인중이었던 동안, 유동 제어기(162)는 프로세스 챔버(112)에 제 1 가스를 제공하고 있는 것 또는 턴 오프되는 것 중 임의의 하나일 수 있다.
단계(210)에서, 질량 유동 확인기(174)를 이용하여 유동 제어기(162)의 제 2 유량이 결정될 수 있다. 예컨대, 제 2 유량은 단계(204)에서 전술한 방법들 중 하나에 의해 결정될 수 있다. 예컨대, 일부 실시예들에서, 유동 제어기(162)에 의해 판독된 바와 같은 제 2 유량과 결정된 제 2 유량 간에 약 1% 내지 약 5% 범위의 차이가 존재하였으면, 유동 제어기(162)는 캘리브레이션을 필요로 할 수 있다. 예컨대, 그 차이가 약 1% 미만이면, 유동 제어기(162)는 동작을 위한 조건에 있는 것으로 간주될 수 있다. 그 차이가 약 5%를 초과하면, 유동 제어기(162)는 교환을 필요로 할 수 있다.
방법의 단계들(208 내지 210)에 대한 대안으로 또는 그와 조합하여, 방법(200)은 (도 3에 도시된 바와 같은) 단계(302)로 진행할 수 있으며, 여기서, 제 3 유동 제어기에 의해 제 3 유량으로 제 1 프로세스 챔버로 제공된 제 1 가스가 질량 유동 확인기(174)에 제공될 수 있다. 예컨대, 제 3 유동 제어기는 전형적인 동작 조건들 하에서 제 1 가스를 프로세스 챔버(132)에 제공하는 유동 제어기(168)일 수 있지만; 그러나, 유동 확인 동안, 제 1 가스는 전술한 바와 같이 도관(182)을 통해 질량 유동 확인기(174)로 전환된다. 예컨대, 전형적인 동작 조건들 동안, 유동 제어기(168)는 제 2 유입구(173)를 통해 제 1 가스를 프로세스 챔버로 제공하며, 이 경우 제 2 유입구(173)는 프로세스 챔버(112)에 대해 전술한 바와 같이 제 2 유입구(172)와 실질적으로 동등하다. 예컨대, 방법(200)은, 단계(206)에서 제 1 가스가 질량 유동 확인기(174)로부터 제거된 후, 단계(302)로 진행할 수 있다. 대안적으로, 방법(200)은, 단계(210)에서 유동 제어기(162)가 확인된 후, 제 2 가스가 질량 유동 확인기(174)로부터 제거된 후(도 2 내지 도 3의 방법(200)의 흐름도에 도시되지 않음), 단계(302)로 진행할 수 있다.
전술한 바와 같이, 유동 제어기들(166, 168)은, 예컨대, 혼합기(156)의 출구로부터 제공된 프로세스 가스들의 혼합물일 수 있는 제 1 가스를 수용하기 위한 공유 유입구(160)를 포함하는 멀티 채널 유동비 제어기(158)의 일부일 수 있으며, 하나 또는 둘 이상의 유동 제어기들을 통해 프로세스 챔버들(112, 132)로 (그리고, 이 예시적 실시예에서는, 유동 제어기들(166, 168)을 통해 프로세스 챔버(132)로) 제 1 가스(예컨대, 단일 가스 또는 가스들의 혼합물)를 분배할 수 있다. 예컨대, 유동 제어기들(166,168)은 제 1 유입구(171)와 제 2 유입구(173) 사이에서 희망 유량비로 제 1 가스를 프로세스 챔버(132)에 제공할 수 있다. 희망 유량비는, 예컨대, 유동 제어기들(166, 168)의 제 1 및 제 3 유량들을 희망 유량들로 설정함으로써, 달성될 수 있다. 유동 제어기들(166, 168)을 통해 프로세스 챔버(132)로 희망 유량비가 전달되고 있는지를 확인하기 위해, 각각의 유동 제어기의 유량이 질량 유동 확인기(174)에 의해 독립적으로 확인될 수 있다. 예컨대, 전술한 바와 같이, 유동 제어기(166)는 단계(204)에서 확인되었다.
단계(304)에서, 질량 유동 확인기(174)를 이용하여 유동 제어기(168)의 제 3 유량이 결정될 수 있다. 예컨대, 제 3 유량은 단계(204)에서 전술한 방법들 중 임의의 것에 의해 결정될 수 있다. 예컨대, 일부 실시예들에서, 유동 제어기(168)에 의해 판독된 경우와 같은 제 3 유량과 결정된 제 3 유량 간에 약 ±5%의 차이가 존재하였다면, 유동 제어기(168)는 캘리브레이션을 필요로 할 수 있다.
전술한 실시예들과 마찬가지로, 유동 제어기(168)가 하기에서 논의된 바와 같이 확인되는 동안, 유동 제어기들(162, 166) 중 어느 하나 또는 모두가 휴지(休止)되거나 개별 프로세스 챔버들(112, 132) 중 하나에 가스를 제공중일 수 있다. 예컨대, 일부 실시예들에서, 유동 제어기(168)가 질량 유동 확인기(174)에 의해 확인되는 동안, 프로세스 챔버(112)에 배치된 기판(미도시)을 프로세스하기 위해 유동 제어기(162)를 통해 (및/또는 유동 제어기(164)를 통해) 프로세스 챔버(112)에 제 2 가스가 제공될 수 있다. 또한, 유동 제어기(168)가 질량 유동 확인기(174)에 의해 확인되는 중인 동안, 유동 제어기(166)는 휴지되거나 또는 동작중일 수 있다.
또한, 단계(304)에서 제 3 유량을 결정한 후, 유동 제어기들(166, 168)에 의해 제 1 유입구(171) 및 제 2 유입구(173)를 통해 프로세스 챔버(132)로 제공되고 있는 제 1 가스의 유량비가, 결정된 제 1 유량과 결정된 제 3 유량을 비교함으로써, 결정될 수 있다. 마찬가지로, 그리고 전술한 바와 같이, 결정된 유량비에 기초하여 제 1 및 제 3 유동 제어기들이 개별적으로 캘리브레이팅될 수 있거나, 대안적으로 결정된 유량비에 기초하여 멀티 채널 유동비 제어기(158)가 전체적으로 캘리브레이팅될 수 있다.
단계(306)에서, 유동 제어기(168)에 대한 제 3 유량의 결정이 완료된 후, 질량 유동 확인기(174)에서 제 1 가스가 제거될 수 있다. 예컨대, 전술한 방법들 중 임의의 것을 사용하여 질량 유동 확인기(174)가 비워질 수 있다. 단계(306)에서, 질량 유동 확인기(174)에서 제 1 가스가 제거된 후, 방법(200)은, 예컨대, 단계(208)로 진행할 수 있거나, 대안적으로, 예컨대, 유동 제어기(186) 또는 트윈 챔버 프로세스 시스템(103)의 공유 리소스(146C)와 연관된 다른 유동 제어기들과 같은 또 다른 유동 제어기를 확인하는 것으로 진행할 수 있다. 대안적으로, 시스템(100)의 모든 유동 제어기들이 방법(200)에 의해 확인되었으면, 시스템(100)의 유동 제어기들의 캘리브레이션을 확인하기 위해, 방법(200)은 다시 시작하거나, 희망 횟수의 프로세스 실행들 후 실시되거나, 또는 주기적으로 실시되거나, 또는 그 외에 방식으로 실시될 수 있다.
클러스터 툴의 구성과 관련하여 전술하였으나, 위의 개념들은 또한 단일 프로세스 챔버의 다양한 구역들에 커플링된 다수의 유동 계측기들의 유동 확인 및 캘리브레이션을 용이하게 하기 위해 다수의 구역을 갖는 단일 프로세스 챔버를 변형시키기 위해 사용될 수 있다. 대안적으로 또는 조합하여, 근접하여 위치된 다수의 프로세스 챔버들은 또한 본 명세서에 제공된 개념들에 따라 질량 유동 확인기를 공유하도록 변형될 수 있다. 일부 실시예들에서, 트윈 챔버 프로세싱 시스템(예컨대, 101)이, 클러스터 툴에 장착되지 않고, 본 명세서에 제공된 개념들에 따라 질량 유동 확인기를 공유하도록 변형될 수 있다.
이에 따라, 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들이 본원에 개시된다. 본 발명에 따른 방법들 및 장치는 유리하게 하나 또는 둘 이상의 유동 제어기들에 의해 제공된 하나 또는 둘 이상의 유량들의 측정을 다양한 구성들로 하나 또는 둘 이상의 프로세스 챔버들에 커플링된 다른 유동 제어기들에 대해 그리고 기준 표준(예컨대, 질량 유동 확인기) 모두에 대해 직접 비교하여, 용이하게 한다. 따라서, 본 발명에 따른 시스템들 및 방법들은 각각의 유동 제어기들을 캘리브레이팅하기 위해 요구되는 시간을 단축하고, 유동 제어기 측정들 간에 향상된 균일성을 유리하게 제공할 수 있으며, 이에 따라, 향상된 챔버 매칭(예컨대, 유사한 프로세스 조건들 하에서 동작하는 2개의 서로 다른 챔버들 사이의 프로세스 결과들의 향상된 균일성)을 용이하게 한다.
이상은 본 발명의 실시예들과 관련되었으나, 본 발명의 다른 및 추가의 실시예들이 그 기본적인 범위를 벗어나지 않고 창안될 수 있다.

Claims (15)

  1. 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함하는 클러스터 툴;
    상기 제 1 프로세스 챔버에 프로세스 가스를 제공하는 제 1 유동 제어기;
    상기 제 2 프로세스 챔버에 상기 프로세스 가스를 제공하는 제 2 유동 제어기;
    상기 제 1 유동 제어기 및 상기 제 2 유동 제어기 각각으로부터 유량을 확인하는 질량 유동 확인기;
    상기 질량 유동 확인기에 상기 제 1 유동 제어기를 선택적으로 커플링하는 제 1 도관; 및
    상기 질량 유동 확인기에 상기 제 2 유동 제어기를 선택적으로 커플링하는 제 2 도관을 포함하는, 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 도관 및 상기 제 2 도관은 동일한 유동 컨덕턴스(flow conductance)를 갖는, 기판 프로세싱 시스템.
  3. 제 1 항에 있어서,
    상기 제 1 유동 제어기 및 상기 제 2 유동 제어기에 상기 프로세스 가스를 제공하는 공유 가스 패널을 더 포함하는, 기판 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 제 1 프로세스 챔버에 상기 프로세스 가스를 제공하는 제 3 유동 제어기; 및
    상기 제 3 유동 제어기로부터 유량을 확인하기 위해 상기 질량 유동 확인기에 상기 제 3 유동 제어기를 선택적으로 커플링하는 제 3 도관을 더 포함하며,
    상기 제 1 유동 제어기, 상기 제 2 유동 제어기 또는 상기 제 3 유동 제어기 중 임의의 하나가 상기 질량 유동 확인기에 의해 확인되고 있는 동안, 상기 제 1 유동 제어기, 상기 제 2 유동 제어기 또는 상기 제 3 유동 제어기 중 임의의 두 개는 상기 제 1 프로세스 챔버 또는 상기 제 2 프로세스 챔버들 중 각각의 프로세스 챔버에 상기 프로세스 가스를 유동시킬 수 있는, 기판 프로세싱 시스템.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 질량 유동 확인기는 임계 유동 노즐을 더 포함하고,
    상기 임계 유동 노즐을 통해 흐르는 제 1 가스의 유량은 상기 제 1 도관에서의 유동 컨덕턴스와 무관하며, 상기 임계 유동 노즐을 통해 흐르는 제 2 가스의 유량은 상기 제 2 도관에서의 유동 컨덕턴스와 무관한, 기판 프로세싱 시스템.
  6. 기판 프로세싱 시스템으로서,
    제 1 프로세스 챔버의 제 1 구역에 프로세스 가스를 제공하는 제 1 유동 제어기;
    제 1 프로세스 챔버의 제 2 구역에 상기 프로세스 가스를 제공하는 제 2 유동 제어기;
    상기 제 1 유동 제어기 및 상기 제 2 유동 제어기 각각으로부터 유량을 확인하는 질량 유동 확인기;
    상기 질량 유동 확인기에 상기 제 1 유동 제어기를 선택적으로 커플링하는 제 1 도관; 및
    상기 질량 유동 확인기에 상기 제 2 유동 제어기를 선택적으로 커플링하는 제 2 도관을 포함하는, 기판 프로세싱 시스템.
  7. 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함하는 기판 프로세싱 시스템에서 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법으로서,
    상기 제 1 프로세스 챔버에 커플링된 제 1 유동 제어기로부터 제 1 유량으로 제 1 가스를 제공하는 단계;
    상기 제 1 가스를 제 1 도관을 통해 질량 유동 확인기로 전환시키는 (diverting) 단계;
    상기 질량 유동 확인기를 이용하여 상기 제 1 유량을 결정하는 단계;
    제 2 프로세스 챔버에 커플링된 제 2 유동 제어기로부터 제 2 유량으로 제 2 가스를 제공하는 단계;
    상기 제 2 가스를 제 2 도관을 통해 상기 질량 유동 확인기로 전환시키는 단계; 및
    상기 질량 유동 확인기를 이용하여 상기 제 2 유량을 결정하는 단계를 포함하는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 질량 유동 확인기에 의해 상기 결정된 제 1 유량에 기초하여 상기 제 1 유동 제어기를 캘리브레이팅하는 단계; 및
    상기 질량 유동 확인기에 의해 상기 결정된 제 2 유량에 기초하여 상기 제 2 유동 제어기를 캘리브레이팅하는 단계를 더 포함하는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  9. 제 7 항에 있어서,
    상기 제 1 유동 제어기를 상기 질량 유동 확인기에 커플링하는 제 1 도관 및 상기 제 2 유동 제어기를 상기 질량 유동 확인기에 커플링하는 제 2 도관은, 동일한 유동 컨덕턴스를 갖는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  10. 제 7 항에 있어서,
    상기 제 1 유동 제어기를 상기 질량 유동 확인기에 커플링하는 제 1 도관 및 상기 제 2 유동 제어기를 상기 질량 유동 확인기에 커플링하는 제 2 도관은 서로 다른 유동 컨덕턴스를 갖고, 상기 질량 유동 확인기는, 상기 제 1 도관으로부터 상기 질량 유동 확인기로 유입되는 상기 제 1 가스의 유량이 상기 제 1 도관에서의 유동 컨덕턴스와 무관하도록 그리고 상기 제 2 도관을 통해 상기 질량 유동 확인기로 유입되는 상기 제 2 가스의 유량이 상기 제 2 도관에서의 유동 컨덕턴스와 무관하도록, 더 구성되며; 또는
    상기 제 1 유동 제어기를 상기 질량 유동 확인기에 커플링하는 제 1 도관 및 상기 제 2 유동 제어기를 상기 질량 유동 확인기에 커플링하는 제 2 도관은 서로 다른 체적들을 갖고, 상기 질량 유동 확인기는, 상기 제 1 도관으로부터 상기 질량 유동 확인기로 유입되는 상기 제 1 가스의 유량이 상기 제 1 도관에서의 체적과 무관하도록 그리고 상기 제 2 도관을 통해 상기 질량 유동 확인기로 유입되는 상기 제 2 가스의 유량이 상기 제 2 도관에서의 체적과 무관하도록, 더 구성되는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  11. 제 7 항에 있어서,
    상기 제 1 가스를 제 1 가스 패널로부터 상기 제 1 유동 제어기로 유동시키는 단계; 및
    상기 제 2 가스를 제 2 가스 패널로부터 상기 제 2 유동 제어기로 유동시키는 단계를 더 포함하는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  12. 제 7 항에 있어서,
    상기 제 1 가스와 상기 제 2 가스는 동일한 가스이고,
    상기 제 1 가스와 상기 제 2 가스는 상기 제 1 프로세스 챔버와 상기 제 2 프로세스 챔버 사이에 공유된 공통 가스 패널로부터 상기 제 1 유동 제어기 및 상기 제 2 유동 제어기로 제공되는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  13. 제 7 항에 있어서,
    상기 제 1 유동 제어기의 제 1 유량의 결정이 완료된 후, 상기 제 1 유동 제어기에 의한 상기 제 1 프로세스 챔버로의 제 1 가스의 유동을 재개하는 단계; 및
    상기 제 2 유동 제어기의 제 2 유량을 결정하는 동안, 상기 제 1 유동 제어기에 의한 상기 제 1 프로세스 챔버로의 제 1 가스의 유동을 유지하는 단계를 더 포함하는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  14. 제 7 항에 있어서,
    상기 제 1 프로세스 챔버에 커플링된 제 3 유동 제어기로부터 제 3 유량으로 제 1 가스를 제공하는 단계;
    상기 제 1 가스를 제 3 도관을 통해 상기 질량 유동 확인기로 전환시키는 단계; 및
    상기 질량 유동 확인기를 이용하여 상기 제 3 유량을 결정하는 단계를 더 포함하며,
    상기 제 1 유동 제어기는 상기 제 1 가스를 상기 제 1 프로세스 챔버의 제 1 가스 유입구에 제공하고, 상기 제 3 유동 제어기는 상기 제 1 가스를 상기 제 1 프로세스 챔버의 제 2 가스 유입구에 제공하는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 결정된 제 1 유량과 상기 결정된 제 3 유량을 비교하여 유량비(flow rate ratio)를 결정하는 단계; 및
    상기 결정된 유량비에 기초하여 상기 제 1 유동 제어기 및 상기 제 3 유동 제어기를 캘리브레이팅하는 단계를 더 포함하는, 복수의 유동 제어기들을 캘리브레이팅하기 위한 방법.
KR1020127019810A 2010-04-30 2011-04-25 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들 KR101451091B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33005610P 2010-04-30 2010-04-30
US61/330,056 2010-04-30
US12/915,345 2010-10-29
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems
PCT/US2011/033780 WO2011137071A2 (en) 2010-04-30 2011-04-25 Methods and apparatus for calibrating flow controllers in substrate processing systems

Publications (2)

Publication Number Publication Date
KR20130025863A KR20130025863A (ko) 2013-03-12
KR101451091B1 true KR101451091B1 (ko) 2014-10-15

Family

ID=44857185

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019810A KR101451091B1 (ko) 2010-04-30 2011-04-25 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들

Country Status (6)

Country Link
US (1) US8707754B2 (ko)
JP (1) JP5986988B2 (ko)
KR (1) KR101451091B1 (ko)
CN (1) CN103038867B (ko)
TW (1) TWI483306B (ko)
WO (1) WO2011137071A2 (ko)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5054500B2 (ja) 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9062993B2 (en) * 2012-05-22 2015-06-23 E I Du Pont De Nemours And Company Method and apparatus for liquid flow calibration check
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104733347B (zh) * 2013-12-24 2018-03-09 北京北方华创微电子装备有限公司 半导体加工设备中气体切换的装置、方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5775633B1 (ja) * 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US20180166300A1 (en) * 2016-12-13 2018-06-14 Lam Research Ag Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020148473A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法及び基板処理システム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021096907A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Gas delivery systems and methods
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7411479B2 (ja) * 2020-03-31 2024-01-11 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) * 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5526674A (en) * 1992-04-30 1996-06-18 Sierra Instruments, Inc. Method and apparatus for improved flow rate measurement and calibration
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
JP2001159549A (ja) * 1999-12-02 2001-06-12 Osaka Gas Co Ltd 流路切替式ガスメータ
WO2002016885A1 (en) * 2000-08-22 2002-02-28 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
CN1574200A (zh) * 2003-05-12 2005-02-02 艾格瑞系统有限公司 质量流控制流量检定和校准的方法
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JP4818589B2 (ja) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 処理装置
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4086057B2 (ja) * 2004-06-21 2008-05-14 日立金属株式会社 質量流量制御装置及びこの検定方法
KR100754386B1 (ko) 2004-10-28 2007-08-31 삼성전자주식회사 양방향 화학기상증착 시스템 및 이를 이용한 펄스형 공정진행 방법
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
JP2007208085A (ja) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp プラズマ処理装置及びその分流比検定方法
WO2007102319A1 (ja) * 2006-03-07 2007-09-13 Ckd Corporation ガス流量検定ユニット
JP4765746B2 (ja) * 2006-04-17 2011-09-07 日立金属株式会社 遮断弁装置及びこれを組み込んだ質量流量制御装置
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US20080302426A1 (en) * 2007-06-06 2008-12-11 Greg Patrick Mulligan System and method of securing removable components for distribution of fluids
KR20090025823A (ko) * 2007-09-07 2009-03-11 한국표준과학연구원 이동없이 가능한 진공게이지의 교정/시험 장치 및 그 방법
JP4598044B2 (ja) * 2007-10-29 2010-12-15 シーケーディ株式会社 流量検定故障診断装置、流量検定故障診断方法及び流量検定故障診断プログラム
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US9772629B2 (en) * 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber
US9644796B2 (en) * 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers

Also Published As

Publication number Publication date
JP2013529381A (ja) 2013-07-18
WO2011137071A2 (en) 2011-11-03
US8707754B2 (en) 2014-04-29
KR20130025863A (ko) 2013-03-12
WO2011137071A3 (en) 2012-03-01
TWI483306B (zh) 2015-05-01
CN103038867B (zh) 2016-03-16
TW201212121A (en) 2012-03-16
US20110265549A1 (en) 2011-11-03
JP5986988B2 (ja) 2016-09-06
CN103038867A (zh) 2013-04-10

Similar Documents

Publication Publication Date Title
KR101451091B1 (ko) 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들
JP5885736B2 (ja) ツインチャンバ処理システム
US7846497B2 (en) Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) Method and apparatus for controlling gas flow to a processing chamber
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
EP1961836A1 (en) Apparatus for controlling gas flow to a processing chamber
KR20140121904A (ko) 오리피스 비율 전도성 제어를 이용하여 유동 분할 에러들을 감소시키기 위한 장치 및 방법들
JP6037707B2 (ja) プラズマ処理装置及びプラズマ処理装置の診断方法
KR20190033660A (ko) 공유 진공 시스템들을 갖는 다중-챔버 프로세싱 시스템
US8473247B2 (en) Methods for monitoring processing equipment
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
US10510564B2 (en) Dynamic coolant mixing manifold
US20200256228A1 (en) Vacuum Pumps For Single And Multi-Process Chamber Flow Stream Sharing
US11959793B2 (en) Flow metrology calibration for improved processing chamber matching in substrate processing systems
TWI723226B (zh) 基板處理裝置
CN116190282B (zh) 刻蚀装置及均匀刻蚀晶圆的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 5