JP5986988B2 - 基板処理システムの流量制御装置を較正する方法及び装置 - Google Patents

基板処理システムの流量制御装置を較正する方法及び装置 Download PDF

Info

Publication number
JP5986988B2
JP5986988B2 JP2013508127A JP2013508127A JP5986988B2 JP 5986988 B2 JP5986988 B2 JP 5986988B2 JP 2013508127 A JP2013508127 A JP 2013508127A JP 2013508127 A JP2013508127 A JP 2013508127A JP 5986988 B2 JP5986988 B2 JP 5986988B2
Authority
JP
Japan
Prior art keywords
flow
gas
verifier
conduit
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013508127A
Other languages
English (en)
Other versions
JP2013529381A5 (ja
JP2013529381A (ja
Inventor
ジェームズ ピー クルーズ
ジェームズ ピー クルーズ
ジョン ダブリュー レーン
ジョン ダブリュー レーン
マリウシュ グレゴール
マリウシュ グレゴール
ドゥク バッキウス
ドゥク バッキウス
ベリン ダラン
ベリン ダラン
コリー リン コッブ
コリー リン コッブ
ミング シュウ
ミング シュウ
アンドリュー ヌグエン
アンドリュー ヌグエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013529381A publication Critical patent/JP2013529381A/ja
Publication of JP2013529381A5 publication Critical patent/JP2013529381A5/ja
Application granted granted Critical
Publication of JP5986988B2 publication Critical patent/JP5986988B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8158With indicator, register, recorder, alarm or inspection means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53022Means to assemble or disassemble with means to test work or product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Flow Control (AREA)

Description

本発明の実施形態は一般的に、基板処理設備に関する。
エッチング処理のような基板処理の最中に、処理チャンバの内容積が1種又は複数種の処理ガスに曝されることがある。多くの場合、このような処理ガスは、内容積に処理ガスを提供する1又は複数の流量制御装置によって制御された所望の流量で提供される。発明者は、いくつかの処理チャンバ構造、例えば共用のガスパネルが複数の処理チャンバに処理ガスを供給する処理チャンバ構造では、共用のガスパネルからの処理ガスが、流量制御装置によって各チャンバに正確に分割されていることを確認する方法が存在しないことを発見した。加えて、発明者は、例えばシステムの異なるチャンバ上でドリフトを検出したり、又はこれら異なるチャンバの流量制御装置間でのドリフトを比較したりして、各チャンバの流量制御装置を監視する、マルチチャンバ型基板処理システムに利用できるオン・ツール・タイプの、例えば一般的にはクラスタツールのような装置が全く存在しないことに気付いた。
そのため、発明者達は、基板処理システム内で複数の流量制御装置を較正する方法及び装置を提供した。
本明細書では、基板処理システムにおいて複数のガス流を較正する方法及び装置を提供する。いくつかの実施形態では、基板処理システムは、中央真空搬送チャンバに結合している第1処理チャンバ及び第2処理チャンバを備えたクラスタツールと、処理ガスを第1処理チャンバに供給する第1流量制御装置と、処理ガスを第2処理チャンバに供給する第2流量制御装置と、第1及び第2制御装置の各々からの流量を検証する質量流量検証器と、第1流量制御装置を質量流量検証器に選択的に結合する第1導管と、第2流量制御装置を質量流量検証器に選択的に結合する第2導管とを設けていてよい。
いくつかの実施形態では、基板処理システムは、処理ガスを第1処理チャンバの第1範囲に供給する第1流量制御装置と、処理ガスを第2処理チャンバの第2範囲に供給する第2流量制御装置と、第1及び第2流量制御装置の各々からの流量を検証する質量流量検証器と、第1流量制御装置を質量流量検証器に選択的に結合する第1導管と、第2流量制御装置を質量流量検証器に選択的に結合する第2導管とを設けていてよい。
いくつかの実施形態では、基板処理システムにおいて複数の流量制御装置を較正する方法は、中央真空搬送チャンバに結合している第1処理チャンバ及び第2処理チャンバを備えている。いくつかの実施形態では、この方法は、第1ガスを、第1処理チャンバに結合した第1流量制御装置からの第1流量にて提供するステップと、第1ガスを、第1導管を介して質量流量検証器へ迂回させるステップと、質量流量検証器を使用して第1流量を決定するステップと、第2ガスを、第2処理チャンバに結合した第2流量制御装置からの第2流量にて供給するステップと、第2ガスを、第2導管を介して質量流量検証器へ迂回させるステップと、質量流量検証器を使用して第2流量を決定するステップとを設けていてよい。
いくつかの実施形態では、基板処理システムにおいて複数の流量制御装置を較正する方法を提供する。いくつかの実施形態では、この方法は、第1処理チャンバの第1範囲に結合している第1流量制御装置によって第1ガスを質量流量検証器に供給するステップと、質量流量検証器を使用して第1ガスの第1流量を決定するステップと、第1処理チャンバの第2範囲に結合している第2流量制御装置によって第2ガスを質量流量検証器に供給するステップと、質量流量検証器を使用して第2ガスの第2流量を決定するステップとを設けていてよく、ここで、第1流量制御装置は第1ガスを第1範囲に提供することができ、一方、第2流量制御装置は第2ガスを質量流量検証器に供給することができる。
本発明のその他の及びさらなる実施形態を以下で述べる。
上で簡単に要約し、以下で詳細に説明する本発明の実施形態は、添付図面に示された本発明の例示的な実施形態を参照することで理解できる。しかし、添付図面は本発明の典型的な実施形態のみを例示しており、また、本発明はその他の同等に有効な実施形態を許容するものであることから、本発明の範囲の限定として解釈されるべきでない点に留意しなければならない。
本発明のいくつかの実施形態によるマルチチャンバ形基板処理システムの略平面図を示す。 本発明のいくつかの実施形態による基板処理システムにおいて複数の流量制御装置を較正する方法のフローチャートを示す。 本発明のいくつかの実施形態による基板処理システムにおいて複数の流量制御装置を較正する方法のフローチャートを示す。
理解しやすくするために、可能な個所では、全図面にわたって共通した同一の要素に同一の参照符号を使用して示している。図面は縮尺どおりには描かれておらず、明瞭化の目的で簡略化されている場合がある。
1つの実施形態の要素及び特徴は、その他の実施形態に、それ以上参照されずとも有効に組み込まれると考えられる。
詳細な説明
ここでは、基板処理システム内で複数の流量制御装置を較正する方法及び装置を開示する。本発明の方法及び装置は、標準品(例えば、質量流量検証器)と、多様な構造の1又は複数の処理チャンバに結合した他の流量制御装置との両方と直接比べて、1又は複数の流量制御装置から提供された1又は複数の流量の測定を有効に促進する。したがって、本発明のシステム及び方法は、各々の流量制御装置の較正に要する時間を有効に短縮し、流量制御装置の測定値の均一性を改善することで、チャンバマッチングの改善(例えば、同様の処理条件下で動作する、2つの異なるチャンバの処理結果の均一性の改善)を促進できる。
図1を参照すると、いくつかの実施形態において、クラスタツール、又はマルチチャンバ型処理システム100は一般的に、工場インターフェース102、真空気密式の処理プラットフォーム104、システム制御装置144を備えていてよい。本明細書が提供する示唆に従って適切に改造できる処理システムには、例えば、アプライドマテリアルズ社(Applied Materials, Inc.、カリフォルニア州、サンタクララ)より市販されている、Centura(登録商標)統合処理システム、PRODUCER(登録商標)シリーズの処理システムの1つ(例えば、PRODUCER(登録商標)GT(商標))、ADVANTEDGE(商標)処理システム、又はこれ以外の適切な処理システムがある。その他の処理システム(別の製造業者の製品を含む)を適用することで本発明から利益を得ることも可能であると考えられる。ここで示す示唆に従って本発明を組み込むように改造できる2チャンバ式処理システムの1例が、2010年4月30日出願の、Ming Xu等による「Twin chamber Processing System」というタイトルの米国特許仮特許出願第61/330、156号に記載されている。
プラットフォーム104は複数の処理チャンバ(図示では6個)110、111、112、132、128、120と、搬送チャンバ136に結合した少なくとも1つのロードロックチャンバ(図示では2個)122とを含んでいてよい。処理チャンバの内容積を搬送チャンバ136の内容積と選択的に流体結合させるために、各々の処理チャンバは、スリットバルブ又はその他の選択的な密封可能な開口部を設けている。同様に、各ロードロックチャンバ122は、各ロードロックチャンバ122の内容積を搬送チャンバ136の内容積と選択的に流体結合させるためのポート125を含む。工場インターフェース102は、ロードロックチャンバ122を介して搬送チャンバ136に結合している。
いくつかの実施形態では、例えば図1に示すように、処理チャンバ110、111、112、132、128、120を、互いに隣接し合った対、つまり処理チャンバ110と111、112と132、128と120にグループ分けすることができる。いくつかの実施形態では、処理チャンバの各々の対は2チャンバ式処理システム(101、103、105)の一部であってよく、この2チャンバ式処理システムでは、処理チャンバの各々の対を、特定の共有リソースを持った共通ハウジング内に設けることができる。各々の2チャンバ式処理システム101、103、105は、相互に隔離し合った独立した処理容積の対を設けていてよい。例えば、各2チャンバ式処理システムは、それぞれが第1処理容積と第2処理容積を設けた第1処理チャンバと第2処理チャンバを含んでいてよい。第1処理容積と第2処理容積を互いから隔離させることで、それぞれの処理チャンバ内で実質的に独立した基板処理を促進できる。2チャンバ式処理システム内部で処理チャンバごとに処理容積が隔離されていることで、処理中に処理容積同士が流体結合する複数基板処理システムが原因で起こる処理上の問題を有効に減少、又は排除することができる。
加えて、2チャンバ式処理システムは、共有リソースを有効に利用することで、システムフットプリント、ハードウェア費用、電気などの公共サービスの使用量、経費、メンテナンスなどを縮小しながら、より高い基板スループットを実現することもできる。例えば、図1に示すように、処理リソース146A、146B、146C(集合的に「146」)(つまり、処理ガス供給部、電源、真空ポンプシステムなど)のそれぞれが、各2チャンバ式処理システム101、103、105の処理チャンバの各対の間、つまり110と111と、112と132と、128と120との間で、及び/又は、処理チャンバの各対内で共用されるように処理チャンバを構成することができる。これ以外の共用ハードウェア及び/又はリソースの例は、1又は複数のプロセスフォアライン、粗引きポンプ、交流配電、直流電源、冷却水流通部、冷却器、多チャネル式温度制御器、ガスパネル、制御装置などのうち、1又は複数を含んでいてよい。
いくつかの実施形態では、基板搬送を促進するために、工場インターフェース102は少なくとも1つのドッキングステーション108と、少なくとも1つの工場インターフェースロボット(図示では2個)114とを設けている。ドッキングステーション108は、1又は複数(図示では2個)の前開き一体形ポッド(FOUP)106A〜106Bを受容するように構成されている。いくつかの実施形態において、工場インターフェースロボット114は一般的に、ロボット114の一端に設置されたブレード116を備えており、このブレード116は、基板をロードロックチャンバ122を通って処理するべく工場インターフェース102から処理プラットフォーム104へ搬送するように構成されている。オプションで、1又は複数の計測ステーション118を工場インターフェース102の終端部126に接続して、FOUP106A〜106Bから入来した基板の測定を促進するようにできる。
いくつかの実施形態では、各ロードロックチャンバ122は工場インターフェース102に結合した第1ポート123と、搬送チャンバ136に結合した第2ポート125とを設けていてよい。ロードロックチャンバ122は、これをポンプダウン及び通気する圧力制御システムに結合していてよく、これによって、基板が、搬送チャンバ136の真空環境と、工場インターフェース102の実質的な周囲(例えば、大気)環境との間を通過しやすくなる。
いくつかの実施形態では、搬送チャンバ136には真空ロボット130が内設されている。真空ロボット130は一般的に、可動アーム131に結合した1又は複数の搬送ブレード(図示では2枚)134を備えている。例えば図1に示すように処理チャンバ110、111、112、132、128、120が2個ずつのグループに分けて配置されているいくつかの実施形態では、真空ロボット130は、真空ロボット130が2枚の基板124、126を、ロードロックチャンバ122から処理チャンバの各々の対(例えば、110と111、112と132、120と128)へ同時に搬送できるように構成された、2枚の平行な搬送ブレード134を設けることができる。
処理チャンバ110、111、112、132、120、128は、基板処理で利用されるあらゆるタイプの処理チャンバであってよい。しかし、共有リソースを利用するには、各々の対の処理チャンバをエッチングチャンバ、堆積チャンバなどの同タイプのチャンバにする必要がある。本明細書で提示する示唆に従って改造できる適切なエッチングチャンバの非限定的な例には、アプライドマテリアルズ社(Applied Materials, Inc.、カリフォルニア州サンタクララ)より市販されている、Decoupled Plasma Source(DPS)シリーズのいずれかのチャンバ、HART(商標)、E−MAX(登録商標)、ENABLER(登録商標)エッチングチャンバがある。これ以外の製造業者からの他のエッチングチャンバを利用することも可能である。
処理チャンバの各々の対110と111、112と132、120と128は、共有リソース146A、146B、又は146Cを設けていてよい。例えば、いくつかの実施形態では、共有リソースには、以下で述べる処理ガスを提供するための共有ガスパネル(例えば、図1で共有リソース146B、146Cとして図示したようなもの)を含んでいてよい。さらに共有リソースは、各処理チャンバを、隣接するチャンバと組み合わせて又は単独でポンプダウンするための共有真空ポンプを設けていてもよい。あるいは、又はこの共有真空ポンプと組み合わせて、各処理チャンバに、各処理チャンバの内容積をポンプダウンするための独立した真空ポンプ(図示せず)を設けることもできる。
いくつかの実施形態では、共有リソースは、処理チャンバ同士の間の共有ガスパネルを含む。例えば、共有リソース146Bは、図1に示すように処理チャンバ112と処理チャンバ132とが共有する共有ガスパネル150を含む。この共有ガスパネル150は、例えば、複数の流量制御装置及び関連装置を介して処理チャンバ112、132に結合した複数の処理ガス源を設けていてよい。ここで用いる「流量制御装置」という用語は、内部に流れる1種又は複数種のガス流の流量を制御するあらゆる装置、例えば質量流量制御装置、流量制御デバイス、流量制御オリフィスなどを意味する。例えば、共有ガスパネル150の各ガス源は、ガス源から所望の流量で流れるガスを測定するための質量流量制御装置に結合していてよい。例えば、ガスパネル150の第1処理ガス源(図示せず)からの第1処理ガスを流量制御装置152で測定し、共有ガスパネル150の第2処理ガス源(図示せず)からの第2処理ガスを流量制御装置154で測定することができる。第1、第2処理ガスは、流量制御装置152、154から排出され、これらの装置のそれぞれの出口に結合している混合器156に入る。第1、第2処理ガスは混合器156内で、流量制御装置152、154が測定した各処理ガスの各分量に関連した比率で混合又は均質化される。
混合された第1処理ガスと第2処理ガスは、混合器156の出口から、多チャネル式流量制御装置を介し、又はこれ以外の、各処理チャンバに供給されたガスをそれぞれ制御できる類似の装置を介して、処理チャンバ112、132へ、さらにオプションで所与の処理チャンバ内の2つ以上の範囲へ分配される。例えば、多チャネル式流量制御装置158は、混合器の出口から第1処理ガスと第2処理ガスの混合物を受容する共有入口160を設け、また、第1処理ガスと第2処理ガスの混合物を、例えば質量流量制御装置、流量制御装置、固定オリフィスなどの1又は複数の流量制御装置、又はこれらの組み合わせを介して、処理チャンバ112、132へ分配することができる。図1の実施形態では、2対の流量制御装置162、164、166、168が、第1の流量制御装置の対(例えば、162、164)が処理チャンバ112に結合した状態、さらに第2の流量制御装置の対(例えば、166、168)が処理チャンバ132に結合した状態にて示されている。
例えば、多チャネル式流量制御装置158は、1又は複数の流量制御装置を設け、各々の流量制御装置が第1処理ガスと第2処理ガスの混合物を各処理チャンバ112、132に供給を行える(図1では、2つの流量制御装置が各チャンバに結合している)。例えば、流量制御装置162、164は、第1処理ガスと第2処理ガスの混合物を処理チャンバ112に供給し、流量制御装置164、166は、第1処理ガスと第2処理ガスの混合物を処理チャンバ132に供給する。流量制御装置の各々の対(例えば、流量制御装置162と164の対)は、第1処理ガスと第2処理ガスの混合物を異なる流量で各処理チャンバ(例えば、処理チャンバ112)に供給できる。例えば、流量制御装置162は、流量制御装置164が処理チャンバ112の第2入口172を介して供給したのと異なる流量で、第1処理ガスと第2処理ガスの混合物を処理チャンバ112の第1入口170から供給できる。例えば、第1入口170と第2入口172は、シャワーヘッド(図示せず)の内側範囲と外側範囲、ガス入口の各範囲などであってよい。
マルチチャンバ型基板処理システム100はさらに、上述した各流量制御装置からの流量を検証する質量流量検証器174を設けており、また、システム100で流量の検証が必要な場合にはあらゆる流量制御装置をさらに設けることができる。例えば、このような追加の流量制御装置は、共有リソース146A又は146Cの一部であってよい。共有リソース146A、146Cは、上で共有リソース146Bについて述べたものと類似した、共有ガスパネル及び流量制御装置の構造を設けていてよい。
質量流量検証器174は、質量流量制御装置が供給したガスの流量を検証するあらゆる適切な装置であってよい。このような質量流量検証器は、例えば既知の容積の圧力の上昇率をある期間にかけて監視することによって、又は、その他の何らかの、質量流量検証器174が監視する任意の流量制御装置の流量を個別に確認する適切な方法によって働く。いくつかの実施形態では、質量流量検証器174は2チャンバ式処理システム101、103、105のうちの1つに搭載できる。いくつかの実施形態では、質量流量検証器174は、マルチチャンバ型処理システム100の搬送チャンバ136上に、あるいは、マルチチャンバ型処理システム100の各処理チャンバに結合した各々の流量制御装置に結合するのに適した他の位置に搭載できる。
質量流量検証器174を、流量制御装置の出口の下(例えば、出口の下流位置)に配置された個々の導管によって、各々の流量制御装置と選択的に結合することができる。本発明の装置は、処理ガス混合を行うマニホルドから延びた1本の導管ではなく個々の導管を介して、各々の流量制御装置を質量流量検証器と選択的に結合することで、1つの制御装置からの流れを検証又は較正している間に、処理ガスの供給が他の流量制御装置の機能に影響を与えることなく、各々の流量制御装置の独立した検証及び/又は較正を促進できるようになる。
各導管は、各流量制御装置を処理チャンバか質量流量検証器174のいずれかに選択的に結合できる多方切替バルブ(図示せず)などによって、所与の流量制御装置の下流位置にそれぞれ結合することができる。例えば、流量制御装置の流量を検証することが望ましい場合、通常は流量制御装置の出口から処理チャンバへ流れる処理ガスを、多方切替バルブによって導管内へ迂回させ、質量流量検証器174内に流れさせることが可能である。検証期間中に、検証対象である流量制御装置は処理ガスを処理チャンバに供給しないが、システム100のこれ以外の全ての流量制御装置は、例えば基板などの処理を行うべく、処理ガスを処理チャンバに供給し続けることができる。いくつかの実施形態では、流れを質量流量検証器174を通過させずに、質量流量検証器174の周囲にバイパスして、導管を迅速に排気させることで、検証中の複数のガス流間でガス切替えパージングがスピードアップされる。
例えば、図1に、それぞれの流量制御装置を質量流量検証器174に結合する数本の導管を示す。図1では、明瞭化の目的で何本かの導管を省略している。しかし、所与の流量制御装置を質量流量検証器174に結合する導管を、処理システム100のそれぞれの流量制御装置に設けることができる。例えば、導管176は、流量制御装置152を質量流量検証器174に結合する。これと同様の導管(図示せず)は、流量制御装置154を質量流量検証器174に結合する。同様に、導管178、180は、質量流量検証器174を流量制御装置162、166のそれぞれに結合し、さらに、隣接する処理チャンバ112、132の関連領域又は範囲に同様(又は同一)のガス流を供給することができる。さらに、複数の流量制御装置に複数の導管を結合し、これらから1つのチャンバに処理ガスを供給することもできる。例えば、導管180が流量制御装置166を質量流量検証器174に、導管182が流量制御装置168を質量流量検証器174に結合し、流量制御装置166、168が処理チャンバ132に処理ガスを供給する。
いくつかの実施形態では、システム100のそれぞれ対応する流量制御装置を質量流量検証器174に結合する全ての導管のフローコンダクタンスは、実質的に同等又はほぼ同一であってよい。ここで用いている「実質的に同等(又は、ほぼ同一)」には、コンダクタンスの約±10%の誤差が含まれる。あるいは、いくつかの実施形態では、処理ガスを供給する流量制御装置を異なる処理チャンバの関連領域(例えば、各処理チャンバの第1範囲、各処理チャンバの第2範囲、など)に結合する複数の導管のフローコンダクタンスは、実質的に同様、又はほぼ同一であってよい。例えば、流量制御装置162、166を隣接する処理チャンバ112、132に結合する導管178、180のフローコンダクタンスは実質的に同様、又はほぼ同一であってよいため、質量流量検証器174を用いて各流量制御装置162、166の流量を比較することができる。同様に、流量制御装置152を質量流量検証器174に結合する導管176と、流量制御装置186を質量流量検証器に結合する導管184とは、実質的に同様の、又はほぼ同一のフローコンダクタンスを有してよい。前出の例において、流量制御装置186は、流量制御装置152が2チャンバ式処理システム105に供給するのと同じ処理ガスを2チャンバ式処理システム103に供給する流量制御装置である。
上記の代替として、又は全ての導管又はこれらの導管の対ごとに実質的に同様のフローコンダクタンスを持たせることと組み合わせて、質量流量検証器174を、閉塞流れに対応した構造にすることができ、例えば、導管から質量流量検証器174に流入する処理ガスの流量は、その導管内のフローコンダクタンスとは無関係である。例えば、質量流量検証器174はさらに臨界ノズル174を備えていてもよく、これにより、処理ガスは質量流量検証器174に流入する時にこの臨界ノズルを通って流れることができる。臨界ノズル188に入る全てのガスの流量を、そのガスが通ってきた導管内のフローコンダクタンスに関係なく正規化できるようにするために、臨界ノズル188を、例えば入口及び出口の穴径、長さ、形状などに基づいて構造することができる。例えば臨界ノズルは、圧力が少なくとも半減する形で(例えば、臨界ノズルのすぐ上流での第1圧力が、臨界ノズルのすぐ下流での第2圧力の少なくとも2倍になる形で)圧力を臨界ノズル全体にわたり降下させるように規制することができる。上記の代替として、又は全ての導管又はこれらの導管の対ごとに実質的に同様のフローコンダクタンスを持たせること、及び/又は、質量流量検証器174を閉塞流れに対応するよう構造することと組み合わせて、いくつかの実施形態では、下流でのコンダクタンスを最小化することで、より高い流量の使用を可能にするより低い基準圧を提供できるようになる。
システム制御装置144は処理システム100と結合しており、処理システム100又はその構成部分を制御できるようになっている。例えば、システム制御装置144は、システム100の処理チャンバ110、111、112、132、128、120の直接制御を用いて、あるいは、処理チャンバ110、111、112、132、128、120、システム100に関連したコンピュータ(又は制御装置)を制御することによって、システム100の動作を制御できる。動作中、システム制御装置144は、各チャンバ及びシステム制御装置144からデータ収集とフィードバックを行えるようにすることで、システム100の性能を最適化する。
システム制御装置144は一般的に、中央処理ユニット(CPU)138、メモリ140、サポート回路142を含んでいる。CPU138は、工業セッティングに使用できるあらゆる形式の汎用コンピュータプロセッサの1つであってよい。メモリ、又はコンピュータで読み出し可能な媒体140は、CPU138によるアクセスが可能であり、又は複数の簡単に入手できるメモリ、例えばランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスク、ハードディスク、又はその他任意形式のデジタル記憶装置(これらのメモリは全て局部的・遠隔的を問わない)であってよい。従来、サポート回路142はCPU138に接続されており、キャッシュ、クロック回路、入/出力サブシステム、電源などを備えていてよい。ここで示す本発明の方法は一般的に、CPU138によって実行されると1対の処理チャンバに本発明の処理を実施させるソフトウェアルーチンとしてメモリ140(又は、以下で述べる特定の処理チャンバの対のメモリ)に記憶することができる。
上述の装置は、複数の流量制御装置を多様な形で較正するために使用できる。例えば、いくつかの実施形態では、中央真空搬送チャンバに結合している第1処理チャンバ及び第2処理チャンバを備えた基板処理システムにおいて複数の流量制御装置を較正する方法は、第1ガスを第1処理チャンバに結合している第1流量制御装置からの第1流量にて供給するステップと、第1ガスを第1導管により質量流量検証器へ迂回させるステップと、質量流量検証器を使用して第1流量を決定するステップと、第2ガスを第2処理チャンバに結合している第2流量制御装置から第2流量にて供給するステップと、第2ガスを第2導管によって質量流量検証器へ迂回させるステップと、質量流量検証器を使用して第2流量を決定するステップとを含んでもよい。
いくつかの実施形態では、基板処理システムにおいて複数の流量制御装置を較正する方法は、第1処理チャンバの第1範囲に結合している第1流量制御装置によって質量流量検証器に第1ガスを供給するステップと、質量流量検証器を使用して第1ガスの第1流量を決定するステップと、第1処理チャンバの第2範囲に結合している第2流量制御装置によって第2ガスを質量流量検証器に供給するステップと、質量流量検証器を使用して第2ガスの第2流量を決定するステップとを含んでいてよく、ここで、第2流量制御装置が質量流量検証器に第2ガスを供給している間も、第1流量制御装置は第1ガスを第1範囲に供給することが可能である。いくつかの実施形態では、第1ガスと第2ガスを、所望の流量を得ることを目的とした各流量にて供給できる。第1流量と第2流量のそれぞれの決定に基づいて、第1流量と第2流量間の実流量を決定することができ、また、この実流量を所望の流量と比較して、所望の流量が得られたかどうかを決定することができる。
図2は、本発明のいくつかの実施形態による、基板処理システムにおいて複数の流量制御装置を較正する方法200のフローチャートを示す。以下で、この方法200を図1で述べた本発明の装置に従って説明する。
ステップ202で、第1処理チャンバに結合している第1流量制御装置によって、第1ガスを第1流量で質量流量検証器に供給することができる。例えば、上述したように第1流量制御装置はシステム100のあらゆる流量制御装置であってよいが、以下では、方法200を、2チャンバ式処理システム105の流量制御装置162、166、168に関連して説明する。したがって、方法200を説明する目的から、第1流量制御装置は、通常の動作条件下にある処理チャンバ132に第1ガスを供給する流量制御装置166であってよいが、しかし、流れ検証中には、第1ガスは上述したように導管180を通って質量流量検証器174へ迂回される。例えば、通常条件での動作中に、流量制御装置166は第1入口171を介して処理チャンバに第1ガスを供給する。この第1入口171は、上で処理チャンバ112に関連して述べた第1入口170と実質的に同等である。
ステップ204では、質量流量検証器174を用いて流量制御装置166の流量を決定する。例えば、既知の容量における圧力上昇量、又は類似の検証方法を用いて、第1流量を決定できる。上述したように、質量流量検証器174を閉塞流れに対応した構造にしたり、各導管のフローコンダクタンスを既知のものにしたり、又は実質的に類似させることが可能である。例えば、質量流量検証器174が決定した第1流量が、流量制御装置166が読み取った第1流量と実質的に異なる場合には、質量流量検証器174が決定した第1流量に基づいて流量制御装置166を較正することができる。例えば、いくつかの実施形態では、決定された第1流量と流量制御装置166が読み取った第1流量との間に約1〜5%の差がある場合には、流量制御装置166を較正する必要がある。例えば、この差が約1%未満の場合は、流量制御装置166は順調に動作していると考えられる。この差が約5%よりも大きい場合は、流量制御装置166を交換する必要があるかもしれない。
ステップ206で、質量流量検証器174が流量制御装置166の第1流量を決定した後に、質量流量検証器174から第1ガスを排除する。例えば、第1ガスを導管180から処理チャンバ132へ迂回させて戻す、及び/又は、流量制御装置166の電源を切ることで、質量流量検証器174から第1ガスを排除できる。導管180を通る第1ガスの流れが止まったら、システム100に結合されているか、システム100の一部である真空ポンプなど(図示せず)によって、第1ガスを質量流量検証器174の出口(図示せず)から除去する。
ステップ208で、第2処理チャンバに結合している第2流量制御装置によって、第2ガスを質量流量検証器174に第2流量で供給できる。例えば、第2流量制御装置は、通常の動作条件下にある処理チャンバ112に第2ガスを供給する流量制御装置162であってよいが、流れ検証中には、上で述べたように第2ガスが導管178を通って質量流量検証器174へ迂回される。いくつかの実施形態では、第2ガスは第1ガスと実質的に同等であってよい。いくつかの実施形態では、第2流量は第1流量と実質的に同等であってよい。いくつかの実施形態では、質量流量検証器174が流量制御装置162の流量を検証している間、流量制御装置166は第1ガスを処理チャンバ132に供給することができる。いくつかの実施形態では、流量制御装置162の流量の検証中には、流量制御装置166の電源をオフにすることができる。また、符号202、204における流量制御装置166の検証中に、上述したように流量制御装置162は処理チャンバ112に第1ガスを供給してもよいし、又は流量制御装置162の電源をオフにしてもよい。
ステップ210で、質量流量検証器174を用いて流量制御装置162の第2流量を決定する。例えば、符号204に関連して上述した方法のいずれかによって、第2流量を決定できる。例えば、いくつかの実施形態では、決定された第2流量と流量制御装置162が読み取った第2流量との間に約1〜5%の差がある場合には、流量制御装置162を較正する必要がある。例えば、この差が約1%未満である場合には、流量制御装置162は順調に動作していると考えられる。この差が約5%よりも大きい場合は、流量制御装置162を交換する必要があるかもしれない。
方法ステップ208〜210の代替として、あるいはこれと組合わせて、方法200は302へ進み(図3に示す)、第3流量制御装置が第1処理チャンバに第3流量で供給した第1ガスを、質量流量検証器174に供給できる。例えば、第3流量制御装置は、通常の動作条件下にある処理チャンバ132に第1ガスを供給する流量制御装置168であってよいが、流量検証中は、上述したように第1ガスは導管182を通って質量流量検証器174へ迂回される。例えば、通常条件での動作中には、流量制御装置168は第1ガスを第2入口173を介して処理チャンバに供給するが、ここで、第2入口173は、処理チャンバ112に関連して上述した第2入口172と実質的に同等のものである。例えば、方法200は、ステップ206で質量流量検証器174から第1ガスを除去した後に、302へ進むことができる。あるいは、方法200は、質量流量検証器174から第2ガスを除去し(図2〜3の方法200のフローチャートでは図示せず)、ステップ210で流量制御装置162が検証された後に、ステップ302へ進むことができる。
上述したように、共有入口160を設けた流量制御装置166、168は多チャネル式流量制御装置158の一部であってよく、ここで、共有入口は、例えば混合器156の出口から供給された複数の処理ガスの混合物であってもよい第1ガスを受容し、第1ガス(例えば、単体ガス、又は複数ガスの混合物)を、1又は複数の流量制御装置を介して処理チャンバ112、132に(さらにこの例証的な実施形態では、流量制御装置166、168を介して処理チャンバ132に)分配することができる。例えば、流量制御装置166、168は、第1入口171と第2入口173の間の望ましい流量比で、第1ガスを処理チャンバ132に供給できる。望ましい流量比は、例えば流量制御装置166、168の第1流量と第3流量を望ましい流量に設定することで達成できる。望ましい流量比が流量制御装置166,168を介して処理チャンバ132に送られていることは、質量流量検証器174によってそれぞれの流量制御装置の流量を個別に検証することで確認できる。例えば、上述したように、流量制御装置166はステップ204で検証されている。
ステップ304で、質量流量検証器174を使用して流量制御装置168の第3流量を決定できる。例えば、第3流量は上でステップ204について述べた方法のいずれを使用しても決定することができる。例えば、いくつかの実施形態では、決定された第3流量と流量制御装置168が読み取った第3流量との間に約±5%の差がある場合には、流量制御装置168を較正する必要がある。
上述した実施形態と同様、流量制御装置162、166のいずれか、又は両方をアイドル状態にするか、あるいは、以下で述べるように、流量制御装置168の検証中に処理チャンバ112、132のうちそれぞれ対応する1つにガスを供給することができる。例えば、いくつかの実施形態では、質量流量検証器174が流量制御装置168を検証している間、処理チャンバ112内に配置した基板(図示せず)を処理するために、第2ガスを流量制御装置162を介して(及び/又は流量制御装置164を介して)処理チャンバ112に供給できる。さらに、質量流量検証器174が流量制御装置168を検証している間、流量制御装置166はアイドル状態にあっても、動作していてもよい。
さらに、ステップ304で第3流量が決定したら、決定された第1流量と第3流量を比較することで、流量制御装置166、168が第1入口171、第2入口173を介して処理チャンバ132に供給している第1ガスの流量比を決定できる。同様に、また上述したように、第1及び第3流量制御装置を決定された流量比に基づいて別々に較正するか、あるいは、多チャネル式流量制御装置158全体を決定された流量比に基づいて較正することができる。
ステップ306で、流量制御装置168についての第3流量の決定が完了したら、質量流量検証器174は第1ガスを排除することができる。例えば、質量流量検証器174は、上述した方法のいずれかを用いて排除できる。ステップ306で質量流量検証器174から第1ガスを排除した後、方法200は、例えばステップ208へ進むか、あるいは別の流量制御装置(例えば、流量制御装置186や、2チャンバ式処理システム103の共有リソース146Cに関連したその他の流量制御装置)を検証するステップへ進むことができる。あるいは、システム100の全ての制御装置を既に方法200で検証し終わっている場合には、方法200を再び開始する、又は定期的に実行する、もしくは所望回数の処理実施後に実行するなどによって、システム100の流量制御装置の較正を検証できる。
上でクラスタツール構造に関連して述べたが、上述した示唆を、1つの処理チャンバの多様な範囲に結合している複数の流量計の流れ検証及び較正を行うために、複数の範囲を設けた1つの処理チャンバを改造するべく用いることも可能である。これの代替として、又はこれと組み合わせて、近接配置された複数の処理チャンバを、本明細書で提供した示唆に従って質量流量検証器を共有するように改造することもできる。いくつかの実施形態では、2チャンバ式処理システム(例えば、101)を、本明細書で提供した示唆に従って、クラスタツールに搭載せずに、質量流量検証器を共有するように改造することができる。
こうして、基板処理システムにおける複数の流量制御装置を較正する方法及び装置を本明細書で開示した。本発明の方法及び装置は、標準品(例えば、質量流量検証器)と、多様な構造の1又は複数の処理チャンバに結合した他の流量制御装置との両方とを直接比較して、1又は複数の流量制御装置によって提供された1又は複数の流量の測定を有効に促進する。したがって、本発明のシステム及び方法は、各々の流量制御装置の較正に要する時間を有効に短縮し、流量制御装置の測定値の均一性を改善することにより、チャンバマッチングの改善(例えば、同様の処理条件下で動作する2つの異なるチャンバの処理結果の均一性の改善)を促進する。
先述は本発明の実施形態に関するものであるが、本発明の基本的範囲から逸脱しない限り、本発明のこれ以外の及びさらなる実施形態が考案される。

Claims (12)

  1. 基板処理システムであって、
    中央真空搬送チャンバに結合している第1処理チャンバ及び第2処理チャンバを備えたクラスタツールと、
    前記第1処理チャンバ及び前記第2処理チャンバへの処理ガスの流れを制御する多チャネル式流量制御装置であって、
    前記第1処理チャンバに前記処理ガスを供給する第1流量制御装置と、
    前記第2処理チャンバに前記処理ガスを供給する第2流量制御装置と、
    前記第1処理チャンバに前記処理ガスを供給する第3流量制御装置を含む多チャネル式流量制御装置と、
    前記処理ガスを前記第1及び第2流量制御装置に供給する共有ガスパネルと、
    前記第1及び第2流量制御装置の各々からの流量を検証する質量流量検証器と、
    前記第1流量制御装置を前記質量流量検証器に選択的に結合する第1導管と、
    前記第2流量制御装置を前記質量流量検証器に選択的に結合する第2導管と、
    前記第3流量制御装置からの流量を検証するために、前記第3流量制御装置を前記質量流量検証器に選択的に結合する第3導管を備え
    前記第1、第2、又は第3流量制御装置のうちのいずれか1つが前記質量流量検証器によって検証されている間に、前記第1、第2、又は第3流量制御装置のうちの残りの2つは、前記それぞれの流量制御装置が結合される前記処理チャンバに前記処理ガスを流すことができる、基板処理システム。
  2. 前記第1導管と第2導管とのフローコンダクタンスは同一である、請求項1記載の基板処理システム。
  3. 前記質量流量検証器はさらに、
    臨界ノズルを備え、前記臨界ノズルを通って流れる第1ガスの流量は、前記第1導管内の前記フローコンダクタンスとは無関係であり、前記臨界ノズルを通って流れる第2ガスの流量は、前記第2導管内の前記フローコンダクタンスとは無関係である、請求項2記載の基板処理システム。
  4. 第1処理チャンバ及び第2処理チャンバへの処理ガスの流れを制御する多チャネル式流量制御装置であって、
    前記処理ガスを前記第1処理チャンバの第1範囲に供給する第1流量制御装置と、
    前記処理ガスを前記第1処理チャンバの第2範囲に供給する第2流量制御装置を含む多チャネル式流量制御装置と、
    前記処理ガスを前記第1及び第2流量制御装置に供給する共有ガスパネルと、
    前記第1及び第2流量制御装置の各々からの流量を検証する質量流量検証器と、
    前記第1流量制御装置を前記質量流量検証器に選択的に結合する第1導管と、
    前記第2流量制御装置を前記質量流量検証器に選択的に結合する第2導管を備え
    前記第1又は第2流量制御装置のうちの一方が前記質量流量検証器によって検証されている間に、前記第1又は第2流量制御装置のうちの他方は、前記それぞれの流量制御装置が結合される前記第1処理チャンバの前記範囲に前記処理ガスを流すことができる、基板処理システム。
  5. 中央真空搬送チャンバに結合されている第1処理チャンバ及び第2処理チャンバを備えた基板処理システムにおいて複数の流量制御装置を較正する方法であって、前記方法は、
    第1ガスを、多チャネル式流量制御装置の第1流量制御装置から第1処理チャンバへ第1流量にて供給するステップと、
    前記第1ガスを、第1導管を介して質量流量検証器へ迂回させるステップと、
    前記質量流量検証器を使用して前記第1流量を決定するステップと、
    第2ガスを、前記多チャネル式流量制御装置の第2流量制御装置から第2処理チャンバへ第2流量にて供給するステップと、
    前記第2ガスを、第2導管を介して前記質量流量検証器へ迂回させるステップと、
    前記質量流量検証器を使用して前記第2流量を決定するステップであって、前記第1ガスと前記第2ガスは、前記第1処理チャンバと前記第2処理チャンバが共有する共通のガスパネルから前記第1流量制御装置と第2流量制御装置に供給される工程と、
    第3ガスを、第1処理チャンバに結合された第3流量制御装置から第3流量にて供給するステップと、
    前記第3ガスを、第3導管を介して前記質量流量検証器に迂回させる工程を備え、前記第1、第2、第3流量制御装置のうちのいずれか1つが前記質量流量検証器によって検証されている間に、前記第1、第2、第3流量制御装置のうちの残りの2つは、前記それぞれの流量制御装置が結合される前記処理チャンバに前記処理ガスを流すことができる方法。
  6. 前記質量流量検証器が決定した前記第1流量に基づいて、前記第1流量制御装置を較正するステップと、
    前記質量流量検証器が決定した前記第2流量に基づいて、前記第2流量制御装置を較正するステップをさらに備える、請求項記載の方法。
  7. 前記第1流量制御装置を前記質量流量検証器に結合する第1導管と、前記第2流量制御装置を前記質量流量検証器に結合する第2導管とは、実質的に同一のフローコンダクタンスを有する、請求項記載の方法。
  8. 前記第1流量制御装置を前記質量流量検証器に結合する第1導管と、前記第2流量制御装置を前記質量流量検証器に結合する第2導管とは異なるフローコンダクタンスを有し、前記質量流量検証器はさらに、前記第1導管から前記質量流量検証器に入る前記第1ガスの流量は前記第1導管内のフローコンダクタンスとは無関係であり、また、前記第2導管を通って前記質量流量検証器に入る前記第2ガスの流量は前記第2導管内のフローコンダクタンスとは無関係であるように構造されているか、又は、
    前記第1流量制御装置を前記質量流量検証器に結合する第1導管と、前記第2流量制御装置を前記質量流量検証器に結合する第2導管とは異なる容量を有し、前記質量流量検証器はさらに、前記第1導管から前記質量流量検証器に入る前記第1ガスの流量が前記第1導管内の前記容量とは無関係であり、また、前記第2導管を通って前記質量流量検証器に入る前記第2ガスの流量は前記第2導管内の容量とは無関係であるように構造されているかのいずれかである、請求項記載の方法。
  9. 前記第1ガス及び第2ガスは同一のガスである、請求項記載の方法。
  10. 前記第1流量制御装置の前記第1流量の決定が完了した後に、前記第1質量流量制御装置による前記第1ガスの前記第1処理チャンバへの流れを再び開始するステップと、
    前記第2流量制御装置の前記第2流量を決定する間、前記第1流量制御装置による前記第1処理チャンバへの前記第1ガスの流れを維持するステップをさらに備える、請求項記載の方法。
  11. 前記第1ガスを、第3流量制御装置から第1処理チャンバへ第3流量にて供給するステップと、
    前記第1ガスを、第3導管によって前記質量流量検証器へ迂回させるステップと、
    前記質量流量検証器を使用して前記第3流量を決定するステップをさらに備え、前記第1流量制御装置は、前記第1ガスを前記第1処理チャンバの第1ガス入口に供給し、前記第3流量制御装置は、前記第1ガスを前記第1処理チャンバの第2ガス入口に供給する、請求項記載の方法。
  12. 流量比を決定するために、前記決定された第1及び第3流量を比較するステップと、
    前記決定された流量比に基づいて、前記第1流量制御装置と第3流量制御装置を較正するステップとをさらに備える、請求項11記載の方法。
JP2013508127A 2010-04-30 2011-04-25 基板処理システムの流量制御装置を較正する方法及び装置 Active JP5986988B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33005610P 2010-04-30 2010-04-30
US61/330,056 2010-04-30
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems
US12/915,345 2010-10-29
PCT/US2011/033780 WO2011137071A2 (en) 2010-04-30 2011-04-25 Methods and apparatus for calibrating flow controllers in substrate processing systems

Publications (3)

Publication Number Publication Date
JP2013529381A JP2013529381A (ja) 2013-07-18
JP2013529381A5 JP2013529381A5 (ja) 2014-06-19
JP5986988B2 true JP5986988B2 (ja) 2016-09-06

Family

ID=44857185

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013508127A Active JP5986988B2 (ja) 2010-04-30 2011-04-25 基板処理システムの流量制御装置を較正する方法及び装置

Country Status (6)

Country Link
US (1) US8707754B2 (ja)
JP (1) JP5986988B2 (ja)
KR (1) KR101451091B1 (ja)
CN (1) CN103038867B (ja)
TW (1) TWI483306B (ja)
WO (1) WO2011137071A2 (ja)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5054500B2 (ja) 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9062993B2 (en) * 2012-05-22 2015-06-23 E I Du Pont De Nemours And Company Method and apparatus for liquid flow calibration check
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104733347B (zh) * 2013-12-24 2018-03-09 北京北方华创微电子装备有限公司 半导体加工设备中气体切换的装置、方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5775633B1 (ja) * 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US20180166300A1 (en) * 2016-12-13 2018-06-14 Lam Research Ag Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020148473A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法及び基板処理システム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11798820B2 (en) * 2019-11-12 2023-10-24 Applied Materials, Inc. Gas delivery systems and methods
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7411479B2 (ja) * 2020-03-31 2024-01-11 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5526674A (en) * 1992-04-30 1996-06-18 Sierra Instruments, Inc. Method and apparatus for improved flow rate measurement and calibration
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
JP2001159549A (ja) * 1999-12-02 2001-06-12 Osaka Gas Co Ltd 流路切替式ガスメータ
AU2001286619A1 (en) * 2000-08-22 2002-03-04 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6913652B2 (en) 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
TW200507141A (en) * 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JP4818589B2 (ja) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 処理装置
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4086057B2 (ja) * 2004-06-21 2008-05-14 日立金属株式会社 質量流量制御装置及びこの検定方法
KR100754386B1 (ko) 2004-10-28 2007-08-31 삼성전자주식회사 양방향 화학기상증착 시스템 및 이를 이용한 펄스형 공정진행 방법
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
JP2007208085A (ja) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp プラズマ処理装置及びその分流比検定方法
KR101233632B1 (ko) * 2006-03-07 2013-02-15 씨케이디 가부시키 가이샤 가스유량 검정유닛
JP4765746B2 (ja) * 2006-04-17 2011-09-07 日立金属株式会社 遮断弁装置及びこれを組み込んだ質量流量制御装置
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US20080302426A1 (en) * 2007-06-06 2008-12-11 Greg Patrick Mulligan System and method of securing removable components for distribution of fluids
KR20090025823A (ko) * 2007-09-07 2009-03-11 한국표준과학연구원 이동없이 가능한 진공게이지의 교정/시험 장치 및 그 방법
JP4598044B2 (ja) * 2007-10-29 2010-12-15 シーケーディ株式会社 流量検定故障診断装置、流量検定故障診断方法及び流量検定故障診断プログラム
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US9644796B2 (en) * 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US9772629B2 (en) * 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber

Also Published As

Publication number Publication date
TW201212121A (en) 2012-03-16
CN103038867A (zh) 2013-04-10
US20110265549A1 (en) 2011-11-03
TWI483306B (zh) 2015-05-01
KR20130025863A (ko) 2013-03-12
CN103038867B (zh) 2016-03-16
WO2011137071A3 (en) 2012-03-01
KR101451091B1 (ko) 2014-10-15
JP2013529381A (ja) 2013-07-18
WO2011137071A2 (en) 2011-11-03
US8707754B2 (en) 2014-04-29

Similar Documents

Publication Publication Date Title
JP5986988B2 (ja) 基板処理システムの流量制御装置を較正する方法及び装置
JP5885736B2 (ja) ツインチャンバ処理システム
JP5330709B2 (ja) 処理チャンバへのガスフローを制御する方法及び装置
JP5582684B2 (ja) 半導体処理システムにガスを分配する装置及び半導体処理チャンバにガスを分配する装置
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
KR101512961B1 (ko) 오리피스 비율 전도성 제어를 이용하여 유동 분할 에러들을 감소시키기 위한 장치 및 방법들
KR20200095434A (ko) Rf-전력공급된, 온도-제어된 가스 확산기
JP2008211218A (ja) 処理チャンバへのガスフローを制御する方法及び装置
JP2002110570A (ja) 半導体製造装置用ガスラインシステム
KR20140088040A (ko) 기판 프로세싱 챔버들에 대한 가스 공급 시스템들 및 그의 방법들
US8473247B2 (en) Methods for monitoring processing equipment
KR20140144242A (ko) 다중―존 가스 피드들을 채용한 플라즈마 프로세싱 챔버들에서의 공유된 가스 패널들
US10067514B2 (en) Substrate processing apparatus and liquid mixing method
JP2019525492A (ja) 共有真空システムを有するマルチチャンバ処理システム
US20180166300A1 (en) Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
US10510564B2 (en) Dynamic coolant mixing manifold
US20240053776A1 (en) Method of controlling fluid flow
CN114798591B (zh) 基于晶片清理仓的气压调控装置及方法
TW202424676A (zh) 控制流體流量的方法
TW202043531A (zh) 用於單處理及多處理腔室流動串流共享的真空泵

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140424

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150407

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150609

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150728

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151026

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160123

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160712

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160808

R150 Certificate of patent or registration of utility model

Ref document number: 5986988

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250