KR20130025863A - 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들 - Google Patents

기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20130025863A
KR20130025863A KR20127019810A KR20127019810A KR20130025863A KR 20130025863 A KR20130025863 A KR 20130025863A KR 20127019810 A KR20127019810 A KR 20127019810A KR 20127019810 A KR20127019810 A KR 20127019810A KR 20130025863 A KR20130025863 A KR 20130025863A
Authority
KR
South Korea
Prior art keywords
flow
gas
controller
flow controller
conduit
Prior art date
Application number
KR20127019810A
Other languages
English (en)
Other versions
KR101451091B1 (ko
Inventor
제임스 피. 크루즈
존 더블유. 레인
마리우쉬 그레고르
덕 벅키우스
베린 다란
코리 린 콥
밍 수
앤드류 응우옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130025863A publication Critical patent/KR20130025863A/ko
Application granted granted Critical
Publication of KR101451091B1 publication Critical patent/KR101451091B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8158With indicator, register, recorder, alarm or inspection means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53022Means to assemble or disassemble with means to test work or product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Flow Control (AREA)

Abstract

기판 프로세싱 시스템에서 복수의 가스 유동을 조정하기 위한 장치 및 방법이 본 명세서에 개시되어 있다. 몇몇 실시예에서, 기판 프로세싱 시스템은, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함한 클러스터 툴; 상기 제 1 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 1 유동 컨트롤러; 상기 제 2 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 2 유동 컨트롤러; 상기 제 1 및 제 2 유동 컨트롤러 각각으로부터 유량을 확인하기 위한 질량 유동 확인기; 상기 질량 유동 확인기에 대해 상기 제 1 유동 컨트롤러를 선택적으로 커플링하는 제 1 도관; 및 상기 질량 유동 확인기에 대해 상기 제 2 유동 컨트롤러를 선택적으로 커플링하는 제 2 도관;을 포함할 수 있다.

Description

기판 프로세싱 시스템에서 유동 컨트롤러를 조정하기 위한 장치 및 방법{METHODS AND APPARATUS FOR CALIBRATING FLOW CONTROLLERS IN SUBSTRATE PROCESSING SYSTEMS}
본 발명의 실시예들은 일반적으로 기판 프로세싱 장비에 관한 것이다.
에칭 프로세스와 같은 기판 프로세싱 과정에서, 프로세스 챔버의 내부 공간이 하나 또는 그 초과의 프로세스 가스에 노출될 수 있다. 흔히, 그러한 프로세스 가스들은, 상기 내부 공간에 프로세스 가스를 제공하는 하나 또는 그 초과의 유동 컨트롤러에 의해 소정의 유량으로 제공된다. 몇몇 프로세스 챔버 구조에서, 예컨대, 공유 가스 패널이 여러 프로세스 챔버들에 대해 프로세스 가스를 공급하는 경우, 본 발명자들은 공유 가스 패널로부터 나온 프로세스 가스들이 유동 컨트롤러에 의해 각각의 챔버로 정확하게 분할되도록 하는 확실한 방법이 존재하지 않음을 발견하였다. 또한, 본 발명자들은 클러스터 툴과 같은 멀티 챔버 기판 프로세싱 시스템에서, 예컨대, 시스템의 여러 챔버들의 유동 컨트롤러들 간의 드리프트를 비교하거나 드리프트를 검출하기 위하여, 각각의 챔버의 유동 컨트롤러들을 모니터링하기 위해 이용가능한 온-툴(on-tool) 장치가 전혀 없음을 인지하였다.
따라서, 본 발명자들은 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 장치 및 방법을 제공하였다.
기판 프로세싱 시스템에서 복수의 가스 유동을 조정하기 위한 장치 및 방법이 본 명세서에 개시되어 있다. 몇몇 실시예에서, 기판 프로세싱 시스템은, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함한 클러스터 툴; 상기 제 1 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 1 유동 컨트롤러; 상기 제 2 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 2 유동 컨트롤러; 상기 제 1 및 제 2 유동 컨트롤러 각각으로부터 유량을 확인하기 위한 질량 유동 확인기; 상기 질량 유동 확인기에 대해 상기 제 1 유동 컨트롤러를 선택적으로 커플링하는 제 1 도관; 및 상기 질량 유동 확인기에 대해 상기 제 2 유동 컨트롤러를 선택적으로 커플링하는 제 2 도관;을 포함할 수 있다.
몇몇 실시예에서, 기판 프로세싱 시스템은, 제 1 프로세스 챔버의 제 1 구역에 프로세스 가스를 제공하기 위한 제 1 유동 컨트롤러; 제 2 프로세스 챔버의 제 2 구역에 프로세스 가스를 제공하기 위한 제 2 유동 컨트롤러; 상기 제 1 및 제 2 유동 컨트롤러 각각으로부터 유량을 확인하기 위한 질량 유동 확인기; 상기 질량 유동 확인기에 대해 상기 제 1 유동 컨트롤러를 선택적으로 커플링하는 제 1 도관; 및 상기 질량 유동 확인기에 대해 상기 제 2 유동 컨트롤러를 선택적으로 커플링하는 제 2 도관;을 포함할 수 있다.
몇몇 실시예에서, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함한 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법이 제공된다. 몇몇 실시예에서, 상기 방법은, 제 1 프로세스 챔버에 커플링된 제 1 유동 컨트롤러로부터 제 1 유량으로 제 1 가스를 제공하는 단계; 상기 제 1 가스를 제 1 도관을 통해 질량 유동 확인기로 우회시키는 단계; 상기 질량 유동 확인기를 이용하여 상기 제 1 유량을 결정하는 단계; 제 2 프로세스 챔버에 커플링된 제 2 유동 컨트롤러로부터 제 2 유량으로 제 2 가스를 제공하는 단계; 상기 제 2 가스를 제 2 도관을 통해 상기 질량 유동 확인기로 우회시키는 단계; 및 상기 질량 유동 확인기를 이용하여 상기 제 2 유량을 결정하는 단계;를 포함할 수 있다.
몇몇 실시예에서, 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법이 제공된다. 몇몇 실시예에서, 상기 방법은, 제 1 프로세스 챔버의 제 1 구역에 커플링된 제 1 유동 컨트롤러에 의해 질량 유동 확인기로 제 1 가스를 제공하는 단계; 상기 질량 유동 확인기를 이용하여 상기 제 1 가스의 제 1 유량을 결정하는 단계; 제 1 프로세스 챔버의 제 2 구역에 커플링된 제 2 유동 컨트롤러에 의해 질량 유동 확인기로 제 2 가스를 제공하는 단계; 및 상기 질량 유동 확인기를 이용하여 상기 제 2 가스의 제 2 유량을 결정하는 단계;를 포함할 수 있으며, 상기 제 1 유동 컨트롤러는 상기 제 1 구역에 상기 제 1 가스를 제공할 수 있고, 상기 제 2 유동 컨트롤러는 상기 질량 유동 확인기에 상기 제 2 가스를 제공한다.
이하, 본 발명의 여타 실시예들을 설명하기로 한다.
첨부도면에 도시된 본 발명의 예시적 실시예들을 참조하면, 위에서 약술하고 아래에 매우 구체적으로 설명한 본 발명의 실시예들을 이해할 수 있을 것이다. 그러나, 첨부도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 본 발명은 다른 동등한 효과를 가진 실시예들을 포함할 수 있으므로, 그 범위를 제한하는 것으로 이해되어서는 아니됨을 유의하여야 한다.
도 1 및 도 1b는 본 발명의 몇몇 실시예들에 따른 멀티 챔버 기판 프로세싱 시스템의 개략적인 평면도이다.
도 2는 본 발명의 몇몇 실시예들에 따른 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법의 흐름도이다.
도 3은 본 발명의 몇몇 실시예들에 따른 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법의 흐름도이다.
이해를 용이하게 하기 위하여, 가능한 한 도면에서 공통된 동일 요소들은 동일한 참조번호를 사용하여 표시하였다. 도면들은 척도에 따라 도시되지 않았으며, 명료함을 위해 단순화될 수 있다. 일 실시예의 요소들과 특징들이 다른 언급없이 다른 실시예들에 유리하게 통합될 수 있음을 고려하였다.
기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법 및 장치가 본 명세서에 개시되어 있다. 본 발명에 따른 방법 및 장치는 다양한 구조로 하나 또는 그 초과의 프로세스 챔버에 커플링된 다른 유동 컨트롤러와 기준 표준(예컨대, 질량 유동 확인기) 모두에 대해 직접 비교하여, 하나 또는 그 초과의 유동 컨트롤러에 의해 제공된 하나 또는 그 초과의 유량의 측정을 유리하게 용이하게 한다. 따라서, 본 발명에 따른 시스템 및 방법은 각각의 유동 컨트롤러를 조정하기 위해 필요한 시간을 단축하고, 유동 컨트롤러 측정들 간에 향상된 균일성을 유리하게 제공할 수 있으며, 이에 따라, 향상된 챔버 매칭(예컨대, 유사한 프로세스 조건하에서 작동하는 2개의 서로 다른 챔버들 간에 향상된 프로세스 결과 균일성)을 가능하게 한다.
도 1을 참조하면, 몇몇 실시예에서, 클러스터 툴, 또는 멀티 챔버 프로세싱 시스템(100)은 일반적으로 팩토리 인터페이스(102), 기밀 프로세싱 플랫폼(104), 및 시스템 컨트롤러(144)를 포함할 수 있다. 본 명세서에 개시된 기술들에 따라 적절하게 변형될 수 있는 프로세싱 시스템의 예로서, 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼 인코포레이티드로부터 상업적으로 구입할 수 있는 CENTURA? 통합 프로세싱 시스템, (PRODUCER? GTTM과 같은) 프로세싱 시스템들의 PRODUCER? 라인 중 하나, ADVANTEDGETM 프로세싱 시스템 또는 다른 적당한 프로세싱 시스템들이 포함된다. 본 발명을 활용하기 위해 (다른 제조사들의 것들을 포함하여) 다른 프로세싱 시스템들이 개조될 수 있을 것으로 생각된다. 본 명세서에 개시된 기술들에 따라 본 발명을 통합하도록 변형될 수 있는 트윈 챔버 프로세싱 시스템의 일 예가 밍 유 등에 의해 "트윈 챔버 프로세싱 시스템"이란 명칭으로 2010년 4월 30일자로 출원된 미국 가특허 출원번호 제61/330,156호에 개시되어 있다.
상기 플랫폼(104)은 복수의 프로세싱 챔버(110, 111, 112, 132, 128, 120)(6개가 도시됨)와, 이송 챔버(136)에 커플링된 적어도 하나의 로드 락 챔버(2개가 도시됨)(122)를 포함할 수 있다. 각각의 프로세스 챔버는 이송 챔버(136)의 내부 공간에 대해 프로세스 챔버들의 개별 내부 공간을 선택적으로 유체 커플링하기 위해 슬릿 밸브 또는 다른 선택적으로 밀폐가능한 개구를 포함한다. 마찬가지로, 각각의 로드 락 챔버(122)는 이송 챔버(136)의 내부 공간에 대해 로드 락 챔버(122)들의 개별 내부 공간을 선택적으로 유체 커플링하기 위해 포트(125)를 포함한다. 상기 팩토리 인터페이스(102)는 로드 락 챔버(122)를 통해 이송 챔버(136)에 커플링된다.
몇몇 실시예에서, 예컨대, 도 1에 도시된 바와 같이, 상기 프로세싱 챔버(110, 111, 112, 132, 128, 120)들은 쌍으로 그룹화되며, 각각의 쌍의 프로세스 챔버(110과 111, 112와 132, 및 128과 120)들은 서로에 대해 인접하여 위치된다. 몇몇 실시예에서, 각각의 쌍의 프로세스 챔버들은 트윈 챔버 프로세싱 시스템(101,103,105)의 일부일 수 있으며, 각각의 개별 프로세스 챔버 쌍들이 하기된 바와 같이 소정의 공유 리소스들을 구비한 공통 하우징에 제공될 수 있다. 각각의 트윈 챔버 프로세싱 시스템(101,103,105)은 서로로부터 격리될 수 있는 한 쌍의 독립적인 프로세싱 공간을 포함할 수 있다. 예컨대, 각각의 트윈 챔버 프로세싱 시스템은 개별적인 제 1 및 제 2 프로세싱 공간을 가진 제 1 프로세스 챔버와 제 2 프로세스 챔버를 포함할 수 있다. 상기 제 1 및 제 2 프로세싱 공간들은 각각의 개별 프로세스 챔버에서 기판들의 실질적으로 독립적인 프로세싱을 용이하게 하기 위해 서로로부터 격리될 수 있다. 상기 트윈 챔버 프로세싱 시스템 내에서 프로세스 챔버들의 격리된 프로세싱 공간들은 프로세싱시 프로세싱 공간들이 유체 커플링된 멀티 기판 프로세싱 시스템들로 인해 발생할 수 있는 프로세싱 문제점들을 유리하게 저감하거나 제거한다.
상기 트윈 챔버 프로세싱 시스템은, 시스템 설치공간의 축소, 하드웨어 경비, 시설 활용 및 비용, 유지보수 등을 용이하면서도 동시에 기판 처리량을 고도로 촉진하는 공유 리소스들을 또한 유리하게 사용한다. 예컨대, 도 1에 도시된 바와 같이, 프로세싱 리소스(146A, 146B, 146C: 통합하여 146이라 함)들(즉, 프로세스 가스 서플라이, 파워 서플라이, 진공 펌핑 시스템 등)이 각각의 프로세싱 챔버(110과 111, 112와 132, 및 128과 120)간에 및/또는 각각의 트윈 프로세싱 시스템(101,103,105)의 각각의 쌍의 프로세싱 챔버 내에서 각각 공유될 수 있도록, 상기 프로세스 챔버들이 구성될 수 있다. 공유 하드웨어 및/또는 리소스들의 다른 예는 프로세스 전방선(foreline)과 러핑 펌프, 교류 분배기와 직류 전력 공급장치, 냉각수 분배기, 냉각기들, 다중 채널 열 컨트롤러들, 가스 패널들, 컨트롤러들 등 중 하나 또는 그 초과를 포함할 수 있다.
몇몇 실시예에서, 상기 팩토리 인터페이스(102)는 기판의 이송을 용이하게 하기 위해 적어도 하나의 도킹 스테이션(108)과 적어도 하나의 팩토리 인터페이스 로봇(114)(2개가 도시되어 있음)을 포함할 수 있다. 상기 도킹 스테이션(108)은 하나 또는 그 초과의 전면 개방 일체식 포드(FOUPs)(2개가 도시되어 있음)(106A,106B)를 수용하도록 구성된다. 몇몇 실시예에서, 일반적으로, 상기 팩토리 인터페이스 로봇(114)은 로드 락 챔버(122)를 통한 프로세싱을 위해 팩토리 인터페이스(102)로부터 프로세싱 플랫폼(104)으로 기판을 이송하도록 구성된 당해 로봇(114)의 일단에 배치된 블레이드(116)를 포함한다. 선택적으로, 상기 FOUP(106A,106B)로부터 나온 기판의 측정을 용이하게 하기 위하여, 하나 또는 그 초과의 계측 스테이션(118)이 팩토리 인터페이스(102)의 터미널(119)에 연결될 수 있다.
몇몇 실시예에서, 각각의 로드 락 챔버(122)들은 팩토리 인터페이스(102)에 커플링된 제 1 포트(123)와 이송 챔버(136)에 커플링된 제 2 포트(125)를 포함할 수 있다. 상기 로드 락 챔버(122)들은 팩토리 인터페이스(102)의 실질적으로 주변(예컨대, 대기) 분위기와 이송 챔버(136)의 진공 분위기 사이로 기판의 전달을 용이하게 하기 위해 당해 로드 락 챔버(122)를 펌핑 다운하고 배기하는 압력 제어 시스템에 커플링될 수 있다.
몇몇 실시예에서, 상기 이송 챔버(136)는 그 내부에 배치된 진공 로봇(130)을 갖는다. 일반적으로, 상기 진공 로봇(130)은 이동식 암(131)에 커플링된 하나 또는 그 초과의 이송 블레이드(134)(2개가 도시되어 있음)를 포함한다. 예컨대, 몇몇 실시예에서, 상기 프로세싱 챔버(110, 111, 112, 132, 128, 120)들이 도 1에 도시된 바와 같이 2개의 그룹으로 배열된 경우, 상기 진공 로봇(130)은 당해 진공 로봇(130)이 동시에 2개의 기판(124,126)을 로드 락 챔버(122)로부터 프로세싱 챔버(예컨대, 110과 111, 112와 132, 및 120과 128)의 각각의 쌍으로 이송할 수 있도록 구성된 2개의 평행한 이송 블레이드(134)들을 가질 수 있다.
상기 프로세싱 챔버(110, 111, 112, 132, 120, 128)들은 기판 프로세싱에 사용되는 임의의 유형의 프로세스 챔버일 수 있다. 그러나, 공유 리소스들을 사용하기 위하여, 각각의 쌍의 프로세싱 챔버는 에칭 챔버, 증착 챔버 등과 같이 동일한 유형의 챔버이다. 본 명세서에 제공된 기술들에 따라 변형될 수 있는 적당한 에칭 챔버의 비한정적인 예로서, 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼 인코포레이티드로부터 구입할 수 있는 분리형 플라즈마 소오스(DPS) 챔버 라인, HARTTM, E-MAX? 또는 ENABLER? 에칭 챔버중 하나가 포함된다. 다른 제조사들의 것들을 포함하여 다른 에칭 챔버들이 사용될 수 있다.
프로세싱 챔버(110과 111, 112와 132, 및 120과 128)의 각각의 쌍은 공유 리소스(146A, 146B 또는 146C)를 가질 수 있다. 예컨대, 몇몇 실시예에서, 상기 공유 리소스들은 하기된 바와 같이 프로세스 가스를 제공하기 위한 (예컨대, 도 1에 도시된 바와 같이, 공유 리소스(146B, 146C)들을 위한) 공유 가스 패널을 포함할 수 있다. 또한, 상기 공유 리소스들은 이웃한 챔버와 조합하여 또는 개별적으로 각각의 프로세스 챔버를 펌핑 다운하기 위한 공유 진공 펌프를 포함할 수 있다. 대안적으로, 또는 공유 진공 펌프와 조합하여, 각각의 프로세스 챔버는 각각의 프로세스 챔버의 내부 공간을 펌핑 다운하기 위한 개별 진공 펌프(미도시)를 포함할 수 있다.
몇몇 실시예에서, 상기 공유 리소스들은 프로세스 챔버들 간에 공유 가스 패널을 포함한다. 예컨대, 상기 공유 리소스(146B)는 도 1에 도시된 바와 같이 프로세스 챔버(112)와 프로세스 챔버(132) 간에 공유된 공유 가스 패널(150)을 포함한다. 상기 공유 가스 패널(150)은, 예컨대, 복수의 유동 컨트롤러 및 관련 장치를 통해 상기 프로세스 챔버(112,132)들에 커플링된 복수의 프로세스 가스 소오스들을 포함할 수 있다. 본 명세서에 사용된 바와 같이, 용어 "유동 컨트롤러"는, 질량 유동 컨트롤러, 유량비 제어 장치, 유동 제어 오리피스 등과 같이, 당해 유동 컨트롤러를 통해 흐르는 가스 또는 가스들의 유량을 제어하기 위한 임의의 장치를 의미한다. 예컨대, 상기 공유 가스 패널(150)의 각각의 가스 소오스는 소정의 유량으로 가스 소오스로부터 나오는 가스를 계측하는 질량 유동 컨트롤러에 커플링될 수 있다. 예컨대, 상기 가스 패널(150)의 제 1 프로세스 가스 소오스(미도시)로부터 나오는 제 1 프로세스 가스는 유동 컨트롤러(152)에 의해 계측될 수 있고, 상기 공유 가스 패널(150)의 제 2 가스 소오스(미도시)로부터 나오는 제 2 프로세스 가스는 유동 컨트롤러(154)에 의해 계측될 수 있다. 상기 제 1 및 제 2 프로세스 가스는 상기 유동 컨트롤러(152,154)를 빠져 나와, 각각의 유동 컨트롤러(152,154)의 개별 출구들에 커플링될 수 있는 혼합기(156)로 유입된다. 상기 제 1 및 제 2 프로세스 가스들은 상기 유동 컨트롤러(152,154)에 의해 계측된 개별 프로세스 가스의 각각의 양과 관련된 비율로 상기 혼합기(156)에서 혼합 또는 균질화될 수 있다.
상기 혼합기(156)의 출구로부터 나온 혼합된 제 1 및 제 2 프로세스 가스는, 다중 채널 유량비 컨트롤러 또는 각각의 프로세스 챔버에 개별적으로 제공되는 가스를 제어할 수 있는 다른 유사한 장치를 통해, 프로세스 챔버(112,132) 또는 주어진 프로세스 챔버 내부의 2개 또는 그 초과의 구역에 분배될 수 있다. 예컨대, 다중 채널 유량비 컨트롤러(158)는 혼합기의 출구로부터 나오는 혼합된 제 1 및 제 2 프로세스 가스를 수용하기 위한 공유 입구(160)를 포함할 수 있으며, 질량 유동 컨트롤러, 유량비 컨트롤러, 고정된 오리피스 또는 이들의 조합 등과 같은 하나 또는 그 초과의 유동 컨트롤러를 통해 상기 혼합된 제 1 및 제 2 프로세스 가스를 프로세스 챔버(112,132)에 분배할 수 있다. 도 1에 도시된 실시예에서, 2쌍의 유동 컨트롤러(162,164,166,168)가 도시되어 있으며, 제 1 쌍의 유동 컨트롤러(예컨대, 162,164)는 프로세스 챔버(112)에 커플링되고, 제 2 쌍의 유동 컨트롤러(예컨대, 166,168)는 프로세스 챔버(132)에 커플링되어 있다.
상기 다중 채널 유량비 컨트롤러(158)는, 예컨대, 각각의 프로세스 챔버(112,132)에 상기 혼합된 제 1 및 제 2 프로세스 가스를 각각 제공하는 하나 또는 그 초과의 유동 컨트롤러를 포함할 수 있다(각각의 챔버에 2개의 유동 컨트롤러가 커플링된 것으로 도 1에 도시되어 있음). 예컨대, 유동 컨트롤러(162,164)는 프로세스 챔버(112)에 상기 혼합된 제 1 및 제 2 프로세스 가스를 제공하고, 유동 컨트롤러(164,166)는 프로세스 챔버(132)에 상기 혼합된 제 1 및 제 2 프로세스 가스를 제공한다. 각각의 쌍의 유동 컨트롤러, 예컨대, 유동 컨트롤러(162,164)는 각각의 프로세스 챔버, 예컨대, 프로세스 챔버(112)에 상기 혼합된 제 1 및 제 2 프로세스 가스를 서로 다른 유량으로 제공할 수 있다. 예컨대, 상기 유동 컨트롤러(162)는 상기 유동 컨트롤러(164)에 의해 상기 프로세스 챔버(112)의 제 2 입구(172)를 통해 제공되는 것과는 다른 유량으로 상기 프로세스 챔버(112)의 제 1 입구(170)에 상기 혼합된 제 1 및 제 2 프로세스 가스를 제공할 수 있다. 예컨대, 상기 제 1 및 제 2 입구(170,172)들은 샤워헤드(미도시)의 내외부 구역, 가스 입구의 서로 다른 구역 등일 수 있다.
상기 멀티 챔버 기판 프로세싱 시스템(100)은 전술한 각각의 유동 컨트롤러 또는 당해 시스템(100)에서의 유량 확인에 필요한 임의의 유동 컨트롤러로부터의 유량을 확인하기 위한 질량 유동 확인기(174)를 더 포함한다. 예컨대, 이와 같이 추가적인 유동 컨트롤러는 공유 리소스(146A) 또는 공유 리소스(146C)의 일부일 수 있다. 상기 공유 리소스(146A,146C)들은 공유 리소스(146B)에 대해 전술한 것과 유사한 공유 가스 패널 및 유동 컨트롤러 구조를 가질 수 있다.
상기 질량 유동 확인기(174)는 질량 유동 컨트롤러에 의해 제공된 가스의 유량을 확인하기 위한 임의의 적당한 장치일 수 있다. 이러한 질량 유동 확인기는, 예컨대, 소정 시간 주기 동안 공지의 공간에서의 압력 상승률을 모니터링함으로써, 또는 당해 질량 유동 확인기(174)에 의해 모니터링되고 있는 임의의 유동 컨트롤러의 유량을 독립적으로 확인하기 위한 몇몇 다른 적당한 방법에 의하여, 작동할 수 있다. 몇몇 실시예에서, 상기 질량 유동 확인기(174)는 트윈 챔버 프로세싱 시스템(101,103,105)들 중 하나에 장착될 수 있다. 몇몇 실시예에서, 상기 질량 유동 확인기(174)는 멀티 챔버 프로세싱 시스템(100)의 이송 챔버(136)에, 또는 상기 멀티 챔버 프로세싱 시스템(100)의 각각의 프로세스 챔버에 커플링된 각각의 유동 컨트롤러에 커플링되어 있는 몇몇 다른 적당한 위치에 장착될 수 있다.
상기 질량 유동 확인기(174)는 상기 유동 컨트롤러의 출구 아래(예컨대, 출구의 하류)에 배치된 개별 도관에 의해 각각의 유동 컨트롤러에 선택적으로 커플링될 수 있다. 개별 도관을 통해 질량 유동 확인기에 각각의 유동 컨트롤러를 선택적으로 커플링함으로써, 프로세스 가스들이 함께 혼합되는 매니폴드로부터 나오는 단일의 도관에 의한 것보다, 본 발명에 따른 장치는 다른 유동 컨트롤러가 프로세스 가스를 공급하는 능력에 영향을 주지 않으면서도 상기 유동 컨트롤러들 중 하나로부터 나오는 유동을 확인 또는 조정함으로써, 각각의 유동 컨트롤러의 독립적인 확인 및/또는 조정을 용이하게 한다.
각각의 도관은, 개별 유동 컨트롤러를 프로세스 챔버 또는 질량 유동 확인기(174)에 선택적으로 커플링할 수 있는 다방향 밸브(미도시) 등에 의해, 유동 컨트롤러의 하류 위치에서 주어진 유동 컨트롤러에 개별적으로 커플링될 수 있다. 예컨대, 유동 컨트롤러의 유량을 확인할 필요가 있는 경우, 유동 컨트롤러로부터 프로세스 챔버로 정상적으로 흐르게 될 프로세스 가스가 질량 유동 확인기(174)로 흐르도록 다방향 밸브에 의해 상기 도관으로 우회될 수 있다. 확인 기간 중, 확인되고 있는 유동 컨트롤러는 프로세스 챔버에 대해 프로세스 가스를 제공하지 않지만, 시스템(100)의 모든 다른 유동 컨트롤러들은, 예컨대, 기판 등을 프로세싱하기 위해 프로세스 챔버에 대해 프로세스 가스를 계속 제공할 수 있다. 몇몇 실시예에서, 질량 유동 확인기(174)를 통해 유동시킬 필요 없이 도관들이 신속하게 배기될 수 있도록, 질량 유동 확인기(174) 주위로 유동이 바이패스될 수 있으며, 이에 따라, 확인되고 있는 여러 가스 유동들 간의 가스 교체 퍼징을 가속화할 수 있다.
예컨대, 개별 유체 컨트롤러들을 질량 유동 확인기(174)에 커플링하는 수개의 도관들이 도 1에 도시되어 있다. 몇몇 도관들은 명료함을 위해 도 1에서 생략되었다. 그러나, 프로세스 시스템(100)의 각각의 유동 컨트롤러는 주어진 유동 컨트롤러를 질량 유동 확인기(174)에 커플링하는 도관을 가질 수 있다. 예컨대, 도관(176)은 유동 컨트롤러(152)를 질량 유동 확인기(174)에 커플링한다. 유사한 도관(미도시)은 유동 컨트롤러(154)를 질량 유동 확인기(174)에 커플링한다. 마찬가지로, 도관(178,180)들은 질량 유동 확인기(174)를 개별 유동 컨트롤러(162,166)에 커플링하며, 이는 인접한 프로세스 챔버(112,132)에서 대응하는 영역들 또는 구역들에 대해 유사한(또는 동일한) 가스 유동을 제공할 수 있다. 또한, 도관들은 동일한 챔버에 프로세스 가스를 제공하는 다중의 유동 컨트롤러에 커플링될 수 있다. 예컨대, 도관(180)은 유동 컨트롤러(166)를 질량 유동 확인기(174)에 커플링하며, 도관(182)은 유동 컨트롤러(168)를 질량 유동 확인기(174)에 커플링하고, 상기 유동 컨트롤러(166,168)들은 프로세스 챔버(132)에 프로세스 가스를 제공한다.
몇몇 실시예에서, 상기 시스템(100)의 개별 유동 컨트롤러를 질량 유동 확인기(174)에 커플링하는 모든 도관들은 실질적으로 등가이거나 거의 동일한 유동 전도율(conductance)을 가질 수 있다. 본 명세서에서 사용된 바와 같이, 실질적으로 등가(또는 거의 동일)는 약 ±10%의 전도율 편차를 포함한다. 대안적으로, 몇몇 실시예에서, 서로 다른 프로세스 챔버들의 대응 영역들(예컨대, 각각의 프로세스 챔버의 제 1 구역, 각각의 프로세스 챔버의 제 2 구역 등)에 대해 프로세스 가스를 제공하는 유동 컨트롤러를 커플링하는 도관들은 실질적으로 유사하거나 거의 동일한 유동 전도율을 가질 수 있다. 예컨대, 인접한 프로세스 챔버(112,132)들에 대해 유동 컨트롤러(162,166)를 커플링하는 도관(178,180)들은 실질적으로 유사하거나 거의 동일한 유동 전도율을 가질 수 있으며, 이에 따라, 각각의 유동 컨트롤러(162,166)의 유량 비교가 질량 유동 확인기(174)에 의해 이루어질 수 있다. 마찬가지로, 유동 컨트롤러(152)를 질량 유동 확인기(174)에 커플링하는 도관(176)과 유동 컨트롤러(186)를 질량 유동 확인기에 커플링하는 도관(184)은 실질적으로 유사하거나 거의 동일한 유동 전도율을 가질 수 있다. 이전의 예에서, 상기 유동 컨트롤러(186)는, 트윈 챔버 프로세싱 시스템(105)에 유동 컨트롤러(152)가 제공하는 것과 동일한 프로세스 가스를 트윈 챔버 프로세싱 시스템(103)에 제공하는 유동 컨트롤러이다.
대안적으로, 또는 모든 도관들 또는 도관 쌍들이 실질적으로 유사한 유동 전도율을 갖도록 하는 것과 조합하여, 상기 질량 유동 확인기(174)는, 예컨대, 도관으로부터 질량 유동 확인기(174)로 유입되는 프로세스 가스의 유량이 상기 도관의 유동 전도율과 무관한 초킹(choked) 유동을 위해 구성될 수 있다. 예컨대, 상기 질량 유동 확인기(174)는 당해 질량 유동 확인기(174)의 입구에 배치된 임계 유동 노즐(188)을 더 포함할 수 있으며, 이에 따라, 상기 프로세스 가스는 질량 유동 확인기(174)로 유입될 때 상기 임계 유동 노즐을 통과한다. 상기 임계 유동 노즐(188)은, 입구 및 출구 구경, 길이 형상 등에 기초하여, 가스의 기원이 되는 도관의 유동 전도율과는 무관하게 임계 유동 노즐(188)로 유입되는 임의의 가스의 유량을 정상화하도록 구성될 수 있다. 예컨대, 상기 임계 유동 노즐은 당해 임계 유동 노즐에서의 압력 강하가 상기 압력의 적어도 절반이 되도록 하는 규제를 제공할 수 있다(예컨대, 상기 임계 유동 노즐의 바로 상류의 제 1 압력이 당해 임계 유동 노즐의 바로 하류의 제 2 압력보다 적어도 2배 더 크다). 대안적으로, 또는 모든 도관들 또는 도관 쌍들이 실질적으로 유사한 유동 전도율을 갖도록 하거나, 및/또는 상기 질량 유동 확인기(174)가 초킹 유동을 위해 구성되는 것과 조합하여, 몇몇 실시예에서, 상기 하류의 전도율은 더 높은 유량이 사용될 수 있도록 하는 더 낮은 기준 압력을 제공하기 위해 최소화될 수 있다.
상기 시스템 컨트롤러(144)는 프로세싱 시스템(100) 또는 그 부품들을 제어하기 위해 상기 프로세싱 시스템(100)에 커플링된다. 예컨대, 상기 시스템 컨트롤러(144)는, 상기 시스템(100)의 프로세스 챔버(110, 111, 112, 132, 128, 120)의 직접 제어를 이용하여, 또는, 대안적으로, 상기 시스템(100) 및 상기 프로세스 챔버(110, 111, 112, 132, 128, 120)와 연관된 컴퓨터(또는 컨트롤러)를 제어함으로써, 상기 시스템(100)의 작동을 제어할 수 있다. 작동시, 상기 시스템 컨트롤러(144)는 시스템(100)의 성능을 최적화하기 위해 개별 챔버들과 시스템 컨트롤러(144)로부터의 데이터 수집과 피드백을 가능하게 한다.
상기 시스템 컨트롤러(144)는 일반적으로 중앙처리장치(CPU)(138), 메모리(140) 및 지원 회로(412)를 포함한다. 상기 CPU(138)는 산업용 설비에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 상기 메모리 또는 컴퓨터 판독가능한 매체(140)는 CPU(138)에 의해 악세스될 수 있으며, 랜덤 악세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크 또는 로컬 또는 원격의 임의의 형태의 디지털 저장장치와 같이 용이하게 입수할 수 있는 하나 또는 그 초과의 메모리일 수 있다. 상기 지원 회로(142)는 통상적으로 상기 CPU(138)에 커플링되며, 캐시, 클록 회로, 입출력 서브시스템, 파워 서플라이 등을 포함할 수 있다. CPU(138)에 의해 실행될 때, 본 발명에 따른 프로세스들을 프로세스 챔버 쌍들이 실시하도록 하는 소프트웨어 루틴 같은 메모리(140)(또는 하기된 바와 같이 특정 프로세스 챔버 쌍의 메모리)에 본 명세서에 개시된 본 발명에 따른 방법들이 일반적으로 저장될 수 있다.
상기 장치는 다양한 방식으로 복수의 유동 컨트롤러를 조정하기 위해 사용될 수 있다. 예컨대, 몇몇 실시예에서, 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함한 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법은, 제 1 프로세스 챔버에 커플링된 제 1 유동 컨트롤러로부터 제 1 유량으로 제 1 가스를 제공하는 단계; 상기 제 1 가스를 제 1 도관을 통해 질량 유동 확인기로 우회시키는 단계; 상기 질량 유동 확인기를 이용하여 상기 제 1 유량을 결정하는 단계; 제 2 프로세스 챔버에 커플링된 제 2 유동 컨트롤러로부터 제 2 유량으로 제 2 가스를 제공하는 단계; 상기 제 2 가스를 제 2 도관을 통해 상기 질량 유동 확인기로 우회시키는 단계; 및 상기 질량 유동 확인기를 이용하여 상기 제 2 유량을 결정하는 단계;를 포함할 수 있다.
몇몇 실시예에서, 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법은, 제 1 프로세스 챔버의 제 1 구역에 커플링된 제 1 유동 컨트롤러에 의해 질량 유동 확인기로 제 1 가스를 제공하는 단계; 상기 질량 유동 확인기를 이용하여 상기 제 1 가스의 제 1 유량을 결정하는 단계; 제 1 프로세스 챔버의 제 2 구역에 커플링된 제 2 유동 컨트롤러에 의해 질량 유동 확인기로 제 2 가스를 제공하는 단계; 및 상기 질량 유동 확인기를 이용하여 상기 제 2 가스의 제 2 유량을 결정하는 단계;를 포함할 수 있으며, 상기 제 1 유동 컨트롤러는 상기 제 1 구역에 상기 제 1 가스를 제공할 수 있고, 상기 제 2 유동 컨트롤러는 상기 질량 유동 확인기에 상기 제 2 가스를 제공한다. 몇몇 실시예에서, 상기 제 1 가스 및 상기 제 2 가스는 소정의 유량비를 얻기 위해 의도된 개별 유량으로 제공될 수 있다. 상기 제 1 유량과 상기 제 2 유량 간의 실제 유량비는 개별적인 제 1 및 제 2 유량의 결정에 기초하여 결정될 수 있으며, 상기 소정의 유량비가 제공되었는지의 여부를 결정하기 위해 상기 실제 유량비는 상기 소정의 유량비에 비교될 수 있다.
도 2는 본 발명의 몇몇 실시예들에 따른 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법(200)의 흐름도이다. 도 1에 도시된 본 발명의 장치에 따라 상기 방법(200)을 설명하기로 한다.
단계(202)에서, 제 1 가스가 제 1 프로세스 챔버에 커플링된 제 1 유동 컨트롤러에 의해 제 1 유량으로 질량 유동 확인기로 제공될 수 있다. 예컨대, 상기 제 1 유동 컨트롤러는 전술한 바와 같이 상기 시스템(100)의 임의의 유동 컨트롤러일 수 있으나, 트윈 챔버 프로세스 시스템(105)의 유동 컨트롤러(162,166,168)와 관련하여 상기 방법(200)을 아래에서 설명하기로 한다. 따라서, 상기 방법(200)을 설명하기 위한 목적으로, 상기 제 1 유동 컨트롤러는 전형적인 작동 조건하에서 제 1 가스를 프로세스 챔버(132)에 제공할 수 있는 유동 컨트롤러(166)일 수 있으며, 그러나, 유동 확인 기간 중, 상기 제 1 가스는 도관(180)을 통해 질량 유동 확인기(174)로 전술한 바와 같이 우회하게 된다. 예컨대, 전형적인 작동 조건 동안, 상기 유동 컨트롤러(166)는 제 1 입구(171)를 통해 제 1 가스를 프로세스 챔버로 제공하며, 상기 제 1 입구(171)는 프로세스 챔버(112)의 전술한 바와 같은 제 1 입구(170)와 실질적으로 등가이다.
단계(204)에서, 상기 질량 유동 확인기(174)를 이용하여 상기 유동 컨트롤러(166)의 제 1 유량이 결정될 수 있다. 예컨대, 상기 제 1 유량은 공지의 공간에서의 압력 상승률을 이용하여 또는 유사한 확인 방법으로 결정될 수 있다. 전술한 바와 같이, 상기 질량 유동 확인기(174)는 초킹 유동을 위해 구성될 수 있으며, 각각의 도관의 유동 전도율이 공지되거나 실질적으로 유사할 수 있다. 예컨대, 상기 질량 유동 확인기(174)에 의해 결정된 제 1 유량이 유동 컨트롤러(166)에 의해 판독된 제 1 유량과 실질적으로 상이하면, 상기 유동 컨트롤러(166)는 질량 유동 확인기(174)에 의해 결정된 제 1 유량에 기초하여 조정될 수 있다. 예컨대, 몇몇 실시예에서, 유동 컨트롤러(166)에 의해 판독된 제 1 유량과 상기 결정된 제 1 유량 간의 차이가 약 1% 내지 약 5% 범위에 있으면, 상기 유동 컨트롤러(166)는 조정이 필요할 수 있다. 예컨대, 그 차이가 약 1% 미만이면, 상기 유동 컨트롤러(166)는 작동 조건에 있는 것으로 간주될 수 있다. 그 차이가 약 5%를 초과하면, 상기 유동 컨트롤러(166)는 교환이 필요할 수 있다.
단계(206)에서, 상기 질량 유동 확인기(174)에 의해 유동 컨트롤러(166)의 제 1 유량이 결정된 후, 상기 질량 유동 확인기(174)에서 제 1 가스가 제거될 수 있다. 예컨대, 상기 도관(180)으로부터 프로세스 챔버(132)로 제 1 가스를 다시 우회시킴으로써, 또는 상기 유동 컨트롤러(166)를 턴 오프함으로써, 상기 질량 유동 확인기(174)에서 제 1 가스가 제거될 수 있다. 상기 도관(180)을 통한 제 1 가스의 유동이 중단된 후, 상기 제 1 가스는 상기 시스템(100)에 또는 그 일부에 커플링된 진공 펌프(미도시) 등에 의해 질량 유동 확인기(174)의 출구(미도시)를 통해 제거될 수 있다.
단계(208)에서, 제 2 가스가 제 2 프로세스 챔버에 커플링된 제 2 유동 컨트롤러에 의해 제 2 유량으로 질량 유동 확인기(174)로 제공될 수 있다. 예컨대, 상기 제 2 유동 컨트롤러는 전형적인 작동 조건하에서 제 2 가스를 프로세스 챔버(112)에 제공할 수 있는 유동 컨트롤러(162)일 수 있으며, 그러나, 유동 확인 기간 중, 상기 제 2 가스는 도관(178)을 통해 질량 유동 확인기(174)로 전술한 바와 같이 우회하게 된다. 몇몇 실시예에서, 상기 제 2 가스는 상기 제 1 가스와 실질적으로 등가일 수 있다. 몇몇 실시예에서, 상기 제 2 유량은 상기 제 1 유량과 실질적으로 등가일 수 있다. 몇몇 실시예에서, 상기 유동 컨트롤러(162)의 유량이 상기 질량 유동 확인기(174)에 의해 확인되고 있을 때, 상기 유동 컨트롤러(166)는 프로세스 챔버(132)에 제 1 가스를 제공하고 있을 수 있다. 몇몇 실시예에서, 상기 유동 컨트롤러(162)의 유량이 확인되고 있을 때, 상기 유동 컨트롤러(166)는 턴 오프될 수 있다. 상기 유동 컨트롤러(166)가 전술한 바와 같이 단계(202 및 204)에서 확인되고 있을 때, 상기 유동 컨트롤러(162)는 프로세스 챔버(112)에 제 1 가스를 제공하고 있거나 턴 오프될 수 있다.
단계(210)에서, 상기 질량 유동 확인기(174)를 이용하여 상기 유동 컨트롤러(162)의 제 2 유량이 결정될 수 있다. 예컨대, 상기 제 2 유량은 단계(204)에서 전술한 방법들 중 하나에 의해 결정될 수 있다. 예컨대, 몇몇 실시예에서, 유동 컨트롤러(162)에 의해 판독된 제 2 유량과 상기 결정된 제 2 유량 간의 차이가 약 1% 내지 약 5% 범위에 있으면, 상기 유동 컨트롤러(162)는 조정이 필요할 수 있다. 예컨대, 그 차이가 약 1% 미만이면, 상기 유동 컨트롤러(162)는 작동 조건에 있는 것으로 간주될 수 있다. 그 차이가 약 5%를 초과하면, 상기 유동 컨트롤러(162)는 교환이 필요할 수 있다.
대안적으로 또는 상기 방법의 단계(208 내지 210)들과 조합하여, 상기 방법(200)은 (도 3에 도시된 바와 같은) 단계(302)로 진행할 수 있으며, 여기서, 제 3 유동 컨트롤러에 의해 제 3 유량으로 제 1 프로세스 챔버로 제공된 제 1 가스가 상기 질량 유동 확인기(174)로 제공될 수 있다. 예컨대, 상기 제 3 유동 컨트롤러는 전형적인 작동 조건하에서 제 1 가스를 프로세스 챔버(132)에 제공하는 유동 컨트롤러(168)일 수 있으며, 그러나, 유동 확인 기간 중, 상기 제 1 가스는 도관(182)을 통해 질량 유동 확인기(174)로 전술한 바와 같이 우회하게 된다. 예컨대, 전형적인 작동 조건 동안, 상기 유동 컨트롤러(168)는 제 2 입구(173)를 통해 제 1 가스를 프로세스 챔버로 제공하며, 상기 제 2 입구(173)는 프로세스 챔버(112)의 전술한 바와 같은 제 2 입구(172)와 실질적으로 등가이다. 예컨대, 상기 방법(200)은, 단계(206)에서 제 1 가스가 질량 유동 확인기(174)로부터 제거된 후, 단계(302)로 진행할 수 있다. 대안적으로, 상기 방법(200)은, 단계(210)에서 유동 컨트롤러(162)가 확인된 후, 제 2 가스가 질량 유동 확인기(174)로부터 제거된 후(도 2 내지 도 3의 방법(200)의 흐름도에 도시되지 않음), 단계(302)로 진행할 수 있다.
전술한 바와 같이, 상기 유동 컨트롤러(166,168)는, 예컨대, 상기 혼합기(156)의 출구로부터 제공된 프로세스 가스들의 혼합물일 수 있는 제 1 가스를 수용하기 위한 공유 입구(160)를 포함하는 다중 채널 유량비 컨트롤러(158)의 일부일 수 있으며, 하나 또는 그 초과의 유동 컨트롤러를 통해 프로세스 챔버(112,132)로(그리고, 이 예시적 실시예에서, 유동 컨트롤러(166,168)를 통해 프로세스 챔버(132)로) 제 1 가스(예컨대, 단일 가스 또는 가스들의 혼합물)를 분배할 수 있다. 예컨대, 상기 유동 컨트롤러(166,168)는 제 1 및 제 2 입구(171,173)들 간의 소정의 유량비로 제 1 가스를 프로세스 챔버(132)에 제공할 수 있다. 상기 소정의 유량비는, 예컨대, 상기 유동 컨트롤러(166,168)의 제 1 및 제 3 유량을 소정의 유량으로 설정함으로써, 구현될 수 있다. 상기 유동 컨트롤러(166,168)를 통해 프로세스 챔버(132)로 상기 소정의 유량비가 전달되고 있는지를 확인하기 위해, 각각의 유동 컨트롤러의 유량이 상기 질량 유동 확인기(175)에 의해 독립적으로 확인될 수 있다. 예컨대, 전술한 바와 같이, 상기 유동 컨트롤러(166)는 단계(204)에서 확인되었다.
단계(304)에서, 상기 질량 유동 확인기(174)를 이용하여 상기 유동 컨트롤러(168)의 제 3 유량이 결정될 수 있다. 예컨대, 상기 제 3 유량은 단계(204)에서 전술한 방법들 중 하나에 의해 결정될 수 있다. 예컨대, 몇몇 실시예에서, 유동 컨트롤러(168)에 의해 판독된 제 3 유량과 상기 결정된 제 3 유량 간의 차이가 약 ±5% 범위에 있으면, 상기 유동 컨트롤러(168)는 조정이 필요할 수 있다.
전술한 실시예들과 마찬가지로, 상기 유동 컨트롤러(168)가 하기한 바와 같이 확인되고 있을 때, 상기 유동 컨트롤러(162,166)들 중 어느 하나 또는 모두가 휴지(休止)되거나 개별 프로세스 챔버(112,132)들 중 하나에 가스를 제공할 수 있다. 예컨대, 몇몇 실시예에서, 상기 유동 컨트롤러(168)가 상기 질량 유동 확인기(174)에 의해 확인되고 있을 때, 프로세스 챔버(112)에 배치된 기판(미도시)을 프로세스하기 위해 상기 유동 컨트롤러(162)를 통해 (및/또는 유동 컨트롤러(164)를 통해) 상기 프로세스 챔버(112)에 제 2 가스가 제공될 수 있다. 또한, 상기 유동 컨트롤러(168)가 상기 질량 유동 확인기(174)에 의해 확인되고 있을 때, 상기 유동 컨트롤러(166)는 휴지되거나 작동될 수 있다.
또한, 단계(304)에서 제 3 유량을 결정한 후, 상기 유동 컨트롤러(166,168)에 의해 제 1 및 제 2 입구(171,173)를 통해 프로세스 챔버(132)로 제공되고 있는 유량비가, 결정된 제 1 및 제 3 유량을 비교함으로써, 결정될 수 있다. 마찬가지로, 전술한 바와 같이, 결정된 유량비에 기초하여 상기 제 1 및 제 3 유동 컨트롤러가 개별적으로 조정될 수 있거나, 결정된 유량비에 기초하여 다중 채널 유량비 컨트롤러(158)가 전체적으로 조정될 수 있다.
단계(306)에서, 상기 유동 컨트롤러(168)에 대한 제 3 유량의 결정이 완료된 후, 상기 질량 유동 확인기(174)에서 제 1 가스가 제거될 수 있다. 예컨대, 전술한 방법들 중 하나를 사용하여 상기 질량 유동 확인기(174)가 비워질 수 있다. 단계(306)에서, 상기 질량 유동 확인기(174)에서 제 1 가스가 제거된 후, 상기 방법(200)은, 예컨대, 단계(208)로 진행할 수 있거나, 대안적으로, 예컨대, 유동 컨트롤러(186) 또는 트윈 챔버 프로세스 시스템(103)의 공유 리소스(146C)와 연관된 다른 유동 컨트롤러와 같은 또 다른 유동 컨트롤러를 확인하기 위해 진행할 수 있다. 대안적으로, 상기 시스템(100)의 모든 유동 컨트롤러들이 상기 방법(200)에 의해 확인되었으면, 상기 방법(200)은, 상기 시스템(100)의 유동 컨트롤러들의 조정을 확인하기 위해 소정 횟수의 프로세스를 운영한 후, 다시 시작하거나, 주기적으로 실시될 수 있다.
클러스터 툴의 구조와 관련하여 전술하였으나, 위의 교시는 단일 프로세스 챔버의 다양한 구역들에 커플링된 여러 유동 계측기의 유동 확인 및 조정을 용이하게 하기 위해 다중의 구역을 가진 단일 프로세스 챔버를 변형시키기 위해 사용될 수도 있다. 대안적으로 또는 조합하여, 인접하여 위치된 다중 프로세스 챔버들도 본 명세서에 제공된 교시에 따라 질량 유동 확인기를 공유하도록 변형될 수 있다. 몇몇 실시예에서, 트윈 챔버 프로세싱 시스템(예컨대, 101)이, 클러스터 툴에 장착되지 않고, 본 명세서에 제공된 교시에 따라 질량 유동 확인기를 공유하도록 변형될 수 있다.
이에 따라, 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 장치 및 방법이 제공되었다. 본 발명에 따른 장치 및 방법은 다양한 구조로 하나 또는 그 초과의 프로세스 챔버에 커플링된 다른 유동 컨트롤러와 기준 표준(예컨대, 질량 유동 확인기) 모두에 대해 직접 비교하여, 하나 또는 그 초과의 유동 컨트롤러에 의해 제공된 하나 또는 그 초과의 유량의 측정을 유리하게 용이하게 한다. 따라서, 본 발명에 따른 시스템 및 방법은 각각의 유동 컨트롤러를 조정하기 위해 필요한 시간을 단축하고, 유동 컨트롤러 측정들 간에 향상된 균일성을 유리하게 제공할 수 있으며, 이에 따라, 향상된 챔버 매칭(예컨대, 유사한 프로세스 조건하에서 작동하는 2개의 서로 다른 챔버들 간에 향상된 프로세스 결과 균일성)을 가능하게 한다.
이상은 본 발명의 실시예들과 관련되었으나, 본 발명의 또 다른 실시예들이 그 기본적인 범위를 벗어나지 않고 안출될 수 있다.

Claims (15)

  1. 기판 프로세싱 시스템으로서,
    중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함한 클러스터 툴;
    상기 제 1 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 1 유동 컨트롤러;
    상기 제 2 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 2 유동 컨트롤러;
    상기 제 1 및 제 2 유동 컨트롤러 각각으로부터 유량을 확인하기 위한 질량 유동 확인기;
    상기 질량 유동 확인기에 대해 상기 제 1 유동 컨트롤러를 선택적으로 커플링하는 제 1 도관; 및
    상기 질량 유동 확인기에 대해 상기 제 2 유동 컨트롤러를 선택적으로 커플링하는 제 2 도관;을 포함하는,
    기판 프로세싱 시스템.
  2. 제 7 항에 있어서,
    상기 제 1 및 제 2 도관이 동일한 유동 전도율을 가진,
    기판 프로세싱 시스템.
  3. 제 7 항에 있어서,
    상기 제 1 및 제 2 유동 컨트롤러에 프로세스 가스를 제공하기 위한 공유 가스 패널을 더 포함하는,
    기판 프로세싱 시스템.
  4. 제 7 항에 있어서,
    상기 제 1 프로세스 챔버에 프로세스 가스를 제공하기 위한 제 3 유동 컨트롤러; 및
    상기 제 3 유동 컨트롤러로부터 유량을 확인하기 위해 상기 질량 유동 확인기에 대해 상기 제 3 유동 컨트롤러를 선택적으로 커플링하는 제 3 도관;을 더 포함하며,
    상기 제 1, 제 2 또는 제 3 유동 컨트롤러 중 임의의 하나가 상기 질량 유동 확인기에 의해 확인되고 있는 동안, 상기 제 1, 제 2 또는 제 3 유동 컨트롤러 중 임의의 두 개는 상기 제 1 또는 제 2 프로세스 챔버의 각각의 하나에 대해 상기 프로세스 가스를 유동시킬 수 있는,
    기판 프로세싱 시스템.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 질량 유동 확인기는 임계 유동 노즐을 더 포함하고,
    상기 임계 유동 노즐을 통해 흐르는 상기 제 1 가스의 유량은 상기 제 1 도관에서의 유동 전도율과 무관하며, 상기 임계 유동 노즐을 통해 흐르는 상기 제 2 가스의 유량은 상기 제 2 도관에서의 유동 전도율과 무관한,
    기판 프로세싱 시스템.
  6. 기판 프로세싱 시스템으로서,
    제 1 프로세스 챔버의 제 1 구역에 프로세스 가스를 제공하기 위한 제 1 유동 컨트롤러;
    제 1 프로세스 챔버의 제 2 구역에 프로세스 가스를 제공하기 위한 제 2 유동 컨트롤러;
    상기 제 1 및 제 2 유동 컨트롤러 각각으로부터 유량을 확인하기 위한 질량 유동 확인기;
    상기 질량 유동 확인기에 대해 상기 제 1 유동 컨트롤러를 선택적으로 커플링하는 제 1 도관; 및
    상기 질량 유동 확인기에 대해 상기 제 2 유동 컨트롤러를 선택적으로 커플링하는 제 2 도관;을 포함하는,
    기판 프로세싱 시스템.
  7. 중앙 진공 이송 챔버에 커플링된 제 1 프로세스 챔버 및 제 2 프로세스 챔버를 포함한 기판 프로세싱 시스템에서 복수의 유동 컨트롤러를 조정하기 위한 방법으로서,
    제 1 프로세스 챔버에 커플링된 제 1 유동 컨트롤러로부터 제 1 유량으로 제 1 가스를 제공하는 단계;
    상기 제 1 가스를 제 1 도관을 통해 질량 유동 확인기로 우회시키는 단계;
    상기 질량 유동 확인기를 이용하여 상기 제 1 유량을 결정하는 단계;
    제 2 프로세스 챔버에 커플링된 제 2 유동 컨트롤러로부터 제 2 유량으로 제 2 가스를 제공하는 단계;
    상기 제 2 가스를 제 2 도관을 통해 상기 질량 유동 확인기로 우회시키는 단계; 및
    상기 질량 유동 확인기를 이용하여 상기 제 2 유량을 결정하는 단계;를 포함하는,
    유동 컨트롤러 조정 방법.
  8. 제 7 항에 있어서,
    상기 질량 유동 확인기에 의해 결정된 제 1 유량에 기초하여 상기 제 1 유동 컨트롤러를 조정하는 단계; 및
    상기 질량 유동 확인기에 의해 결정된 제 2 유량에 기초하여 상기 제 2 유동 컨트롤러를 조정하는 단계;를 더 포함하는,
    유동 컨트롤러 조정 방법.
  9. 제 7 항에 있어서,
    상기 제 1 유동 컨트롤러를 상기 질량 유동 확인기에 커플링하는 제 1 도관과 상기 제 2 유동 컨트롤러를 상기 질량 유동 확인기에 커플링하는 제 2 도관은 실질적으로 동일한 유동 전도율을 가진,
    유동 컨트롤러 조정 방법.
  10. 제 7 항에 있어서,
    상기 제 1 유동 컨트롤러를 상기 질량 유동 확인기에 커플링하는 제 1 도관과 상기 제 2 유동 컨트롤러를 상기 질량 유동 확인기에 커플링하는 제 2 도관은 서로 다른 유동 전도율을 갖고, 상기 질량 유동 확인기는, 상기 제 1 도관으로부터 상기 질량 유동 확인기로 유입되는 상기 제 1 가스의 유량이 상기 제 1 도관에서의 유동 전도율과 무관하도록 그리고 상기 제 2 도관을 통해 상기 질량 유동 확인기로 유입되는 상기 제 2 가스의 유량이 상기 제 2 도관에서의 유동 전도율과 무관하도록, 더 구성되며; 또는
    상기 제 1 유동 컨트롤러를 상기 질량 유동 확인기에 커플링하는 제 1 도관과 상기 제 2 유동 컨트롤러를 상기 질량 유동 확인기에 커플링하는 제 2 도관은 서로 다른 체적을 갖고, 상기 질량 유동 확인기는, 상기 제 1 도관으로부터 상기 질량 유동 확인기로 유입되는 상기 제 1 가스의 유량이 상기 제 1 도관에서의 체적과 무관하도록 그리고 상기 제 2 도관을 통해 상기 질량 유동 확인기로 유입되는 상기 제 2 가스의 유량이 상기 제 2 도관에서의 체적과 무관하도록, 더 구성되는,
    유동 컨트롤러 조정 방법.
  11. 제 7 항에 있어서,
    제 1 가스 패널로부터 상기 제 1 유동 컨트롤러로 상기 제 1 가스를 유동시키는 단계; 및
    제 2 가스 패널로부터 상기 제 2 유동 컨트롤러로 상기 제 2 가스를 유동시키는 단계;를 더 포함하는,
    유동 컨트롤러 조정 방법.
  12. 제 7 항에 있어서,
    상기 제 1 가스와 상기 제 2 가스는 동일한 가스이고, 상기 제 1 가스와 상기 제 2 가스는 상기 제 1 및 제 2 프로세스 챔버들 간에 공유된 공통 가스 패널로부터 상기 제 1 및 제 2 유동 컨트롤러로 제공되는,
    유동 컨트롤러 조정 방법.
  13. 제 7 항에 있어서,
    상기 제 1 유동 컨트롤러의 제 1 유량의 결정이 완료된 후, 상기 제 1 질량 유동 컨트롤러에 의해 상기 제 1 프로세스 챔버로의 제 1 가스의 유동을 재개하는 단계; 및
    상기 제 2 유동 컨트롤러의 제 2 유량을 결정하는 동안, 상기 제 1 유동 컨트롤러에 의한 상기 제 1 프로세스 챔버로의 제 1 가스의 유동을 유지하는 단계;를 더 포함하는,
    유동 컨트롤러 조정 방법.
  14. 제 7 항에 있어서,
    상기 제 1 프로세스 챔버에 커플링된 제 3 유동 컨트롤러로부터 제 3 유량으로 제 1 가스를 제공하는 단계;
    상기 제 1 가스를 제 3 도관을 통해 상기 질량 유동 확인기로 우회시키는 단계; 및
    상기 질량 유동 확인기를 이용하여 상기 제 3 유량을 결정하는 단계;를 더 포함하며,
    상기 제 1 유동 컨트롤러는 상기 제 1 가스를 상기 제 1 프로세스 챔버의 제 1 가스 입구에 제공하고, 상기 제 3 유동 컨트롤러는 상기 제 1 가스를 상기 제 1 프로세스 챔버의 제 2 가스 입구에 제공하는,
    유동 컨트롤러 조정 방법.
  15. 제 14 항에 있어서,
    유량비를 결정하기 위해 상기 결정된 제 1 및 제 3 유량을 비교하는 단계; 및
    상기 결정된 유량비에 기초하여 상기 제 1 및 제 3 유동 컨트롤러를 조정하는 단계;를 더 포함하는,
    유동 컨트롤러 조정 방법.
KR1020127019810A 2010-04-30 2011-04-25 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들 KR101451091B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33005610P 2010-04-30 2010-04-30
US61/330,056 2010-04-30
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems
US12/915,345 2010-10-29
PCT/US2011/033780 WO2011137071A2 (en) 2010-04-30 2011-04-25 Methods and apparatus for calibrating flow controllers in substrate processing systems

Publications (2)

Publication Number Publication Date
KR20130025863A true KR20130025863A (ko) 2013-03-12
KR101451091B1 KR101451091B1 (ko) 2014-10-15

Family

ID=44857185

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019810A KR101451091B1 (ko) 2010-04-30 2011-04-25 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들

Country Status (6)

Country Link
US (1) US8707754B2 (ko)
JP (1) JP5986988B2 (ko)
KR (1) KR101451091B1 (ko)
CN (1) CN103038867B (ko)
TW (1) TWI483306B (ko)
WO (1) WO2011137071A2 (ko)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5054500B2 (ja) * 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9062993B2 (en) * 2012-05-22 2015-06-23 E I Du Pont De Nemours And Company Method and apparatus for liquid flow calibration check
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104733347B (zh) * 2013-12-24 2018-03-09 北京北方华创微电子装备有限公司 半导体加工设备中气体切换的装置、方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5775633B1 (ja) * 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US20180166300A1 (en) * 2016-12-13 2018-06-14 Lam Research Ag Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020148473A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法及び基板処理システム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021096907A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Gas delivery systems and methods
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7411479B2 (ja) * 2020-03-31 2024-01-11 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) * 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5526674A (en) * 1992-04-30 1996-06-18 Sierra Instruments, Inc. Method and apparatus for improved flow rate measurement and calibration
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
JP2001159549A (ja) * 1999-12-02 2001-06-12 Osaka Gas Co Ltd 流路切替式ガスメータ
US20020046612A1 (en) * 2000-08-22 2002-04-25 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6913652B2 (en) 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
TW200507141A (en) * 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JP4818589B2 (ja) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 処理装置
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4086057B2 (ja) * 2004-06-21 2008-05-14 日立金属株式会社 質量流量制御装置及びこの検定方法
KR100754386B1 (ko) 2004-10-28 2007-08-31 삼성전자주식회사 양방향 화학기상증착 시스템 및 이를 이용한 펄스형 공정진행 방법
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
JP2007208085A (ja) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp プラズマ処理装置及びその分流比検定方法
CN101395453B (zh) * 2006-03-07 2010-09-29 喜开理株式会社 气体流量检验单元
JP4765746B2 (ja) * 2006-04-17 2011-09-07 日立金属株式会社 遮断弁装置及びこれを組み込んだ質量流量制御装置
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US20080302426A1 (en) * 2007-06-06 2008-12-11 Greg Patrick Mulligan System and method of securing removable components for distribution of fluids
KR20090025823A (ko) * 2007-09-07 2009-03-11 한국표준과학연구원 이동없이 가능한 진공게이지의 교정/시험 장치 및 그 방법
JP4598044B2 (ja) * 2007-10-29 2010-12-15 シーケーディ株式会社 流量検定故障診断装置、流量検定故障診断方法及び流量検定故障診断プログラム
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US9644796B2 (en) * 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US9772629B2 (en) * 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber

Also Published As

Publication number Publication date
CN103038867B (zh) 2016-03-16
TW201212121A (en) 2012-03-16
US20110265549A1 (en) 2011-11-03
KR101451091B1 (ko) 2014-10-15
CN103038867A (zh) 2013-04-10
WO2011137071A2 (en) 2011-11-03
TWI483306B (zh) 2015-05-01
JP5986988B2 (ja) 2016-09-06
WO2011137071A3 (en) 2012-03-01
JP2013529381A (ja) 2013-07-18
US8707754B2 (en) 2014-04-29

Similar Documents

Publication Publication Date Title
KR20130025863A (ko) 기판 프로세싱 시스템들에서 유동 제어기들을 캘리브레이팅하기 위한 장치 및 방법들
JP5885736B2 (ja) ツインチャンバ処理システム
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
US20170191685A1 (en) Self-sustained in-situ thermal control apparatus
US10840061B2 (en) Substrate processing chamber including conical surface for reducing recirculation
US10957561B2 (en) Gas delivery system
US9091397B2 (en) Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US20110087378A1 (en) Control method and processor of exhaust gas flow rate of processing chamber
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
US8473247B2 (en) Methods for monitoring processing equipment
US20180061679A1 (en) Multi chamber processing system with shared vacuum system
US9488315B2 (en) Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
US10510564B2 (en) Dynamic coolant mixing manifold
TWI723226B (zh) 基板處理裝置
WO2020168021A1 (en) Vacuum pumps for single and multi-process chamber flow stream sharing
CN116190282B (zh) 刻蚀装置及均匀刻蚀晶圆的方法
KR20210002929A (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 5