KR20210002929A - 로드락 챔버 및 이를 구비하는 기판 처리 시스템 - Google Patents

로드락 챔버 및 이를 구비하는 기판 처리 시스템 Download PDF

Info

Publication number
KR20210002929A
KR20210002929A KR1020190078809A KR20190078809A KR20210002929A KR 20210002929 A KR20210002929 A KR 20210002929A KR 1020190078809 A KR1020190078809 A KR 1020190078809A KR 20190078809 A KR20190078809 A KR 20190078809A KR 20210002929 A KR20210002929 A KR 20210002929A
Authority
KR
South Korea
Prior art keywords
housing
pumping line
load lock
lock chamber
substrate
Prior art date
Application number
KR1020190078809A
Other languages
English (en)
Other versions
KR102241600B1 (ko
Inventor
윤웅규
이기영
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020190078809A priority Critical patent/KR102241600B1/ko
Publication of KR20210002929A publication Critical patent/KR20210002929A/ko
Application granted granted Critical
Publication of KR102241600B1 publication Critical patent/KR102241600B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

하우징의 내부에 진공 상태가 형성되도록 하는 펌핑 라인을 히팅시키는 로드락 챔버 및 이를 구비하는 기판 처리 시스템을 제공한다. 상기 로드락 챔버는, 하우징; 하우징의 내부에 설치되며, 기판이 대기하는 공간을 제공하는 버퍼 스테이지; 하우징의 하부에 설치되며, 하우징의 내부를 진공 상태로 형성하는 펌핑 부재; 및 하우징의 하부에 설치되며, 하우징의 내부를 대기압 상태로 형성하는 벤트 부재를 포함하며, 펌핑 부재는, 진공 펌프; 진공 펌프와 하우징을 연결하는 펌핑 라인; 및 펌핑 라인의 표면에 설치되며, 펌핑 라인을 히팅시키는 히팅 부재를 포함한다.

Description

로드락 챔버 및 이를 구비하는 기판 처리 시스템 {Loadlock chamber and system for treating substrate with the loadlock chamber}
본 발명은 로드락 챔버 및 이를 구비하는 기판 처리 시스템에 관한 것이다. 보다 상세하게는, 히팅 부재를 구비하는 로드락 챔버 및 이를 구비하는 기판 처리 시스템에 관한 것이다.
반도체 소자는 미세한 파티클(particle)에 의해서도 공정 불량이 발생할 수 있다. 그래서 멀티 챔버 프로세스 시스템은 고도로 청정한 클린 룸(clean room)의 내부에 설치된다.
한편, 멀티 챔버 프로세스 시스템의 공정 챔버(process chamber)는 파티클에 의한 공정 영향성을 배제하기 위해 고진공 상태를 유지하게 되는데, 멀티 챔버 프로세스 시스템은 공정 챔버가 기판의 투입 및 배출시 고진공 상태가 급격히 불량해지는 것을 방지하기 위해, 저진공 상태의 로드락 챔버(loadlock chamber)를 포함할 수 있다.
한국공개특허 제10-2012-0084063호 (공개일: 2012.07.27.)
그런데 멀티 챔버 프로세스 시스템에서 양산 시간이 증가하는 경우, 로드락 챔버에 연결되는 펌핑 라인(pumping line)의 온도가 감소할 수 있으며, 이에 따라 잔류 가스, 반응 부산물, 응결(condensation) 등에 의해 펌핑 라인 내에 파티클이 생성될 수 있다.
또한 파티클로 인해 로드락 챔버 내에 오염이 발생할 수 있으며, 이로 인해 웨이퍼(wafer)에 파티클 결함(particle defect) 등이 유발될 수 있다.
본 발명에서 해결하고자 하는 과제는, 하우징의 내부에 진공 상태가 형성되도록 하는 펌핑 라인을 히팅(heating)시키는 로드락 챔버를 제공하는 것이다.
또한 본 발명에서 해결하고자 하는 과제는, 하우징의 내부에 진공 상태가 형성되도록 하는 펌핑 라인을 히팅시키는 로드락 챔버를 구비하는 기판 처리 시스템을 제공하는 것이다.
본 발명의 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위한 본 발명의 로드락 챔버의 일 면(aspect)은, 하우징; 상기 하우징의 내부에 설치되며, 기판이 대기하는 공간을 제공하는 버퍼 스테이지; 상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 진공 상태로 형성하는 펌핑 부재; 및 상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 대기압 상태로 형성하는 벤트 부재를 포함하며, 상기 펌핑 부재는, 진공 펌프; 상기 진공 펌프와 상기 하우징을 연결하는 펌핑 라인; 및 상기 펌핑 라인의 표면에 설치되며, 상기 펌핑 라인을 히팅시키는 히팅 부재를 포함한다.
상기 히팅 부재는 러버 히터(rubber heater)일 수 있다.
상기 히팅 부재는 상기 펌핑 라인의 외부 표면을 둘러싸도록 설치될 수 있다.
상기 히팅 부재는, 고무를 소재로 하여 형성되는 제1 기재층; 상기 제1 기재층 상에 형성되며, 전기를 이용하여 열을 생성하는 패턴층; 및 상기 패턴층 상에 형성되며, 고무를 소재로 하여 형성되는 제2 기재층을 포함할 수 있다.
상기 로드락 챔버는, 상기 펌핑 라인의 내부 온도를 측정하는 온도 측정부; 및 상기 펌핑 라인의 내부 온도와 기준 온도를 비교하여, 상기 펌핑 라인의 내부 온도와 상기 기준 온도를 비교하여 얻은 결과를 기초로 상기 히팅 부재를 제어하는 제어부를 더 포함할 수 있다.
상기 제어부는 상기 기준 온도로 상기 하우징의 내부 온도를 이용할 수 있다.
상기 과제를 달성하기 위한 본 발명의 기판 처리 시스템의 일 면은, 기판이 일시 저장되는 공간을 제공하는 로드락 챔버; 상기 기판이 수납된 캐리어가 안착되는 로드 포트; 상기 로드 포트와 상기 로드락 챔버 사이에서 상기 기판을 반송하는 이송 프레임; 상기 기판을 처리하는 공정이 수행되는 공정 챔버; 및 상기 로드락 챔버와 상기 공정 챔버 사이에서, 또는 서로 다른 두 공정 챔버 사이에서 상기 기판을 반송하는 트랜스퍼 챔버를 포함하며, 상기 로드락 챔버는, 하우징; 상기 하우징의 내부에 설치되며, 기판이 대기하는 공간을 제공하는 버퍼 스테이지; 상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 진공 상태로 형성하는 펌핑 부재; 및 상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 대기압 상태로 형성하는 벤트 부재를 포함하고, 상기 펌핑 부재는, 진공 펌프; 상기 진공 펌프와 상기 하우징을 연결하는 펌핑 라인; 및 상기 펌핑 라인의 표면에 설치되며, 상기 펌핑 라인을 히팅시키는 히팅 부재를 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 로드락 챔버를 구비하는 기판 처리 시스템의 개략적인 구조를 보여주는 평면도이다.
도 2는 본 발명의 일실시예에 따른 로드락 챔버의 개략적인 구조를 보여주는 사시도이다.
도 3은 도 2에 도시된 펌핑 라인의 부분 확대도이다.
도 4는 도 2에 도시된 펌핑 라인에 설치되는 히팅 부재의 개략적인 구조를 보여주는 예시도이다.
도 5는 도 4에 도시된 히팅 부재가 펌핑 라인에 설치되는 형태를 보여주는 예시도이다.
도 6은 도 4에 도시된 히팅 부재의 작동 방법을 설명하기 위한 개념도이다.
도 7은 도 4에 도시된 히팅 부재의 작동 방법을 설명하기 위한 참고도이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다. 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시 예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 게시되는 실시 예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예들은 본 발명의 게시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
소자(elements) 또는 층이 다른 소자 또는 층의 "위(on)" 또는 "상(on)"으로 지칭되는 것은 다른 소자 또는 층의 바로 위뿐만 아니라 중간에 다른 층 또는 다른 소자를 개재한 경우를 모두 포함한다. 반면, 소자가 "직접 위(directly on)" 또는 "바로 위"로 지칭되는 것은 중간에 다른 소자 또는 층을 개재하지 않은 것을 나타낸다.
공간적으로 상대적인 용어인 "아래(below)", "아래(beneath)", "하부(lower)", "위(above)", "상부(upper)" 등은 도면에 도시되어 있는 바와 같이 하나의 소자 또는 구성 요소들과 다른 소자 또는 구성 요소들과의 상관관계를 용이하게 기술하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시되어 있는 방향에 더하여 사용시 또는 동작시 소자의 서로 다른 방향을 포함하는 용어로 이해되어야 한다. 예를 들면, 도면에 도시되어 있는 소자를 뒤집을 경우, 다른 소자의 "아래(below)" 또는 "아래(beneath)"로 기술된 소자는 다른 소자의 "위(above)"에 놓여질 수 있다. 따라서, 예시적인 용어인 "아래"는 아래와 위의 방향을 모두 포함할 수 있다. 소자는 다른 방향으로도 배향될 수 있고, 이에 따라 공간적으로 상대적인 용어들은 배향에 따라 해석될 수 있다.
비록 제1, 제2 등이 다양한 소자, 구성요소 및/또는 섹션들을 서술하기 위해서 사용되나, 이들 소자, 구성요소 및/또는 섹션들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 소자, 구성요소 또는 섹션들을 다른 소자, 구성요소 또는 섹션들과 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 소자, 제1 구성요소 또는 제1 섹션은 본 발명의 기술적 사상 내에서 제2 소자, 제2 구성요소 또는 제2 섹션일 수도 있음은 물론이다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다.
이하, 첨부한 도면들을 참조하여 본 발명의 실시예들을 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어 도면 부호에 상관없이 동일하거나 대응하는 구성 요소는 동일한 참조번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.
본 발명은 로드락 챔버(loadlock chamber)의 펌핑 라인(pumping line)을 히팅(heating)시키는 로드락 챔버의 펌핑 라인 히팅 장치 및 이를 구비하는 기판 처리 시스템에 관한 것이다. 이하에서는 도면 등을 참조하여 본 발명을 자세하게 설명하기로 한다.
도 1은 로드락 챔버를 구비하는 기판 처리 시스템의 개략적인 구조를 보여주는 평면도이다.
도 1에 따르면, 기판 처리 시스템(100)은 인덱스 모듈(110) 및 공정 처리 모듈(120)을 포함하여 구성될 수 있다.
기판 처리 시스템(100)은 복수개의 기판을 처리하는 것이다. 기판 처리 시스템(100)은 이를 위해 반송 로봇(또는 핸들러(handler))과 그 주위에 마련된 복수개의 공정 챔버(process chamber)를 포함하는 멀티 챔버 프로세스 시스템(multi chamber process system)으로 구현될 수 있다.
기판 처리 시스템(100)은 예를 들어, 복수개의 기판을 식각(etching)하는 기판 식각 설비로 구현될 수 있다.
인덱스 모듈(110)은 설비 전방 단부 모듈(EFEM; Equipment Front End Module)이라고 하며, 로드 포트(111) 및 이송 프레임(112)을 포함하여 구성될 수 있다.
로드 포트(111)는 기판이 수납된 캐리어(carrier; 140)가 안착되는 것이다. 이러한 로드 포트(111)는 인덱스 모듈(110)에 복수 개 설치될 수 있다. 로드 포트(111)가 인덱스 모듈(110)에 복수 개 설치되는 경우, 복수 개의 로드 포트(111)는 제2 방향(20)을 따라 일렬로 배치될 수 있다.
로드 포트(111)은 예를 들어, 인덱스 모듈(110)에 세 개 설치될 수 있는데, 로드 포트(111)의 개수는 공정 처리 모듈(120)의 공정 효율, 풋 프린트 등의 조건에 따라 증가하거나 감소할 수도 있다.
이송 프레임(112)은 로드 포트(111)에 안착되는 캐리어(140)와 로드락 챔버(130) 사이에서 기판을 반송하는 것이다. 이송 프레임(112)은 이를 위해 대기압에서 동작하는 반송 로봇(113)을 포함할 수 있다.
한편, 캐리어(140)는 예를 들어, 전면 개방 일체형 포드(FOUP; Front Opening Unified Pod)로 구현될 수 있다.
공정 처리 모듈(120)은 트랜스퍼 챔버(121) 및 공정 챔버(122)를 포함하여 구성될 수 있다.
트랜스퍼 챔버(121)는 로드락 챔버(130)와 공정 챔버(122) 사이에서 기판을 반송하는 것이다. 트랜스퍼 챔버(121)는 이를 위해 회동이 자유롭게 마련되는 반송 로봇(123)을 포함할 수 있다.
트랜스퍼 챔버(121)의 반송 로봇(123)은 미처리 기판을 로드락 챔버(130)에서 공정 챔버(122)로 반송하는 역할을 한다. 또한 트랜스퍼 챔버(121)의 반송 로봇(123)은 기처리 기판을 공정 챔버(122)에서 로드락 챔버(130)로 반송하는 역할도 한다. 트랜스퍼 챔버(121)의 각 변은 이를 위해 복수 개의 공정 챔버(122) 및 복수 개의 로드락 챔버(130)와 연결된다.
공정 챔버(122)는 식각 공정(etching process) 등 기판을 처리하는 공정이 수행되는 공간을 제공한다. 이러한 공정 챔버(122)는 표면이 양극 산화막이 형성된 알루마이트(alumite)로 이루어질 수 있으며, 그 내부는 기밀하게 구성될 수 있다.
로드락 챔버(130)는 인덱스 모듈(110)과 공정 처리 모듈(120) 사이에서 버퍼 역할을 하는 것이다. 이러한 로드락 챔버(130)는 기판 처리 시스템(100) 내에 적어도 하나 구비될 수 있다.
로드락 챔버(130)는 하우징(131)의 내부에 버퍼 스테이지(132)를 구비할 수 있다. 버퍼 스테이지(132)는 기판이 로드락 챔버(130)에 로딩된 후 트랜스퍼 챔버(121)의 반송 로봇(123)을 통해 공정 챔버(122)로 이송될 때까지 기판이 임시 대기하는 공간을 제공할 수 있다.
로드락 챔버(130)는 트랜스퍼 챔버(121)의 기압 상태가 변화되는 것을 방지시키기 위해 자체적으로 진공 상태와 대기압 상태를 교차하면서 압력을 유지할 수 있다. 구체적으로, 로드락 챔버(130)는 트랜스퍼 챔버(121)의 반송 로봇(123)이 기판을 로딩 또는 언로딩할 때에 트랜스퍼 챔버(121)와 동일한(근접한) 진공 분위기를 형성할 수 있으며, 이송 프레임(112)의 반송 로봇(113)으로부터 미가공 웨이퍼를 공급받거나 이미 가공된 웨이퍼를 인덱스 모듈(110)로 이송할 때에 대기압 상태를 형성할 수 있다.
로드락 챔버(130)는 트랜스퍼 챔버(121)와 같은 진공 상태를 형성하거나 인덱스 모듈(110)과 같은 대기압 상태를 형성하기 위해, 도 2에 도시된 바와 같이 구성될 수 있다.
도 2는 본 발명의 일실시예에 따른 로드락 챔버의 개략적인 구조를 보여주는 사시도이며, 도 3은 도 2에 도시된 펌핑 라인의 부분 확대도이다. 이하 설명은 도 2 및 도 3을 참조한다.
펌핑 부재(210)는 로드락 챔버(130)의 내부를 진공 상태로 형성하는 것이다. 이러한 펌핑 부재(210)는 로드락 챔버(130)의 하부에 설치되며, 제1 진공 펌프(211), 펌핑 라인(pumping line; 212) 등을 포함하여 구성될 수 있다.
제1 진공 펌프(211)는 로드락 챔버(130)의 내부에 진공 상태가 형성되도록 펌핑 기능을 하는 것이다. 제1 진공 펌프(211)는 예를 들어, 로터리 펌프(rotary pump), 부스터 펌프(booster pump) 등으로 구현될 수 있다.
펌핑 라인(212)은 로드락 챔버(130)와 제1 진공 펌프(211)를 연결하는 것이다. 펌핑 라인(212)은 이를 위해 일단이 로드락 챔버(130)의 내부로 통하는 통로를 개폐하는 밸브(미도시)와 연결되고, 타단이 제1 진공 펌프(211)와 연결되어, 로드락 챔버(130)의 내부에 진공 상태가 형성되도록 할 수 있다.
펌핑 라인(212)의 표면에는 히팅 부재(213)가 설치될 수 있다. 히팅 부재(213)에 대해서는 후술한다.
한편, 펌핑 라인(212) 상에는 펌핑 라인(212)을 개폐시키는 밸브(214)가 설치될 수 있다.
벤트 부재(220)는 로드락 챔버(130)의 내부를 대기압 상태로 형성하는 것이다. 이러한 벤트 부재(220)도 펌핑 부재(210)와 마찬가지로 로드락 챔버(130)의 하부에 설치되며, 벤트 가스 공급원(221), 벤트 라인(222) 등을 포함하여 구성될 수 있다.
벤트 가스 공급원(221)은 로드락 챔버(130)로 벤트 가스를 공급하는 것이다. 벤트 가스 공급원(221)은 기판에 주는 영향을 최소화하기 위해 불활성 가스(예를 들어, 질소 가스(N2 gas))를 벤트 가스로 사용할 수 있다.
벤트 라인(222)은 벤트 가스가 로드락 챔버(130)로 공급될 수 있도록 경로를 제공하는 것이다.
한편, 벤트 라인(222) 상에도 벤트 라인(222)을 개폐시키는 밸브(223)가 설치될 수 있다.
한편, 도 2에 도시되어 있지 않지만, 펌핑 부재(210)는 제2 진공 펌프(미도시)를 더 포함할 수 있다.
제2 진공 펌프는 예를 들어 확산 펌프(diffusion pump), 터보 펌프(turbo pump) 등으로 구현될 수 있으며, 포어라인 밸브(foreline valve; 230)를 통해 로드락 챔버(130)와 연결될 수 있다.
앞서 설명한 바와 같이, 펌핑 라인(212)의 표면에는 히팅 부재(213)가 설치될 수 있다. 이하에서는 이에 대해 설명한다.
기판 처리 시스템(100)의 양산 시간이 증가하면, 로드락 챔버(130)의 진공 형성에 관여하는 펌핑 라인(213)의 온도가 감소할 수 있다. 이 경우, 펌핑 라인 내에 파티클(particle)이 생성되어, 로드락 챔버(130)의 내부에 오염이 발생하거나, 웨이퍼(wafer)에 파티클 다운(particle down), 파티클 결함(particle defect) 등의 문제가 발생될 수 있다.
본 실시예에서는 이러한 문제를 해결하기 위해, 펌핑 라인(212)의 표면에 히팅 부재(213)를 설치할 수 있다.
펌핑 라인(212)의 표면에 히팅 부재(213)를 설치하면, 펌핑 라인(212)을 일정 온도 이상으로 유지하는 것이 가능해진다. 또한 이에 따라 응결(condensation) 등이 발생되는 것을 방지할 수 있으며, 반응 부산물 등이 축적되는 것을 방지할 수 있으므로, 파티클이 생성되는 것을 억제할 수 있다.
히팅 부재(213)는 러버 히터(rubber heater)(예를 들어, 실리콘 러버 히터)를 이용하여 펌핑 라인(212)의 표면에 설치될 수 있다. 이러한 히팅 부재(213)는 도 4의 (a)에 도시된 바와 같이 와이어 타입(wire type)으로 제조될 수 있으며, 도 4의 (b)에 도시된 바와 같이 에칭 타입(etching type)으로 제조되는 것도 가능하다.
도 4는 도 2에 도시된 펌핑 라인에 설치되는 히팅 부재의 개략적인 구조를 보여주는 예시도이다. 이하 설명은 도 4를 참조한다.
히팅 부재(213)는 제1 기재층(310), 패턴층(320), 제2 기재층(330) 및 전력 공급부(340)를 포함하여 구성될 수 있다.
패턴층(320)은 전력 공급부(340)에 의해 공급되는 전기를 이용하여 열을 생성하는 것이다. 이러한 패턴층(320)은 제1 기재층(310)과 제2 기재층(320) 사이에 형성될 수 있다. 즉, 패턴층(320)이 제1 기재층(310) 상에 형성되고, 제2 기재층(320)이 패턴층(320) 상에 형성될 수 있다. 패턴층(320)은 제1 기재층(310)과 제2 기재층(320) 사이에서 가황 처리될 수 있다.
제1 기재층(310)과 제2 기재층(320)은 실리콘 고무(silicon rubber)를 소재로 하여 형성될 수 있다.
히팅 부재(213)는 도 5에 도시된 바와 같이 펌핑 라인(212)을 둘러싸는 형태로 형성될 수 있다. 이러한 히팅 부재(213)는 펌핑 라인(212) 전체를 둘러싸도록 형성될 수 있다. 그러나 본 실시예가 이에 한정되는 것은 아니다. 히팅 부재(213)는 펌핑 라인(212) 일부를 둘러싸도록 형성되는 것도 가능하다. 도 5는 도 4에 도시된 히팅 부재가 펌핑 라인에 설치되는 형태를 보여주는 예시도이다.
한편, 히팅 부재(213)를 구동시키기 위해서는 펌핑 라인(212)의 내부 온도를 측정할 필요가 있다. 도 6은 도 4에 도시된 히팅 부재의 작동 방법을 설명하기 위한 개념도이며, 도 7은 도 4에 도시된 히팅 부재의 작동 방법을 설명하기 위한 참고도이다. 이하 설명은 도 6 및 도 7을 참조한다.
로드락 챔버(130)는 온도 측정부(410) 및 제어부(420)를 더 포함할 수 있다.
온도 측정부(410)는 펌핑 라인(212)의 내부 온도를 측정하는 것이다. 이러한 온도 측정부(410)는 일정 시간마다 펌핑 라인(212)의 내부 온도를 측정할 수 있으며, 제어부(420)의 제어에 따라 특정 시간(예를 들어, 기판 처리 시스템(100)의 양산 시간이 소정 시간을 초과하는 경우)에 펌핑 라인(212)의 내부 온도를 측정하는 것도 가능하다.
제어부(420)는 펌핑 라인(212)의 내부 온도와 기준 온도를 비교하여 펌핑 라인(212)의 내부 온도가 기준 온도 미만인지 여부를 판단하는 것이다. 제어부(420)는 펌핑 라인(212)의 내부 온도가 기준 온도 미만인 것으로 판단되면, 히팅 부재(213)가 작동되도록 제어할 수 있다.
제어부(420)는 기준 온도로 로드락 챔버(130)의 내부 온도(예를 들어, 40도 ~ 60도)를 이용할 수 있다.
이상과 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 기판 처리 시스템 110: 인덱스 모듈
111: 로드 포트 112: 이송 프레임
113, 123: 반송 로봇 120: 공정 처리 모듈
121: 트랜스퍼 챔버 122: 공정 챔버
130: 로드락 챔버 132: 버퍼 스테이지
140: 캐리어 210: 펌핑 부재
211: 진공 펌프 212: 펌핑 라인
213: 히팅 부재 220: 벤트 부재
221: 벤트 가스 공급원 222: 벤트 라인
310: 제1 기재층 320: 패턴층
330: 제2 기재층 340: 전력 공급부
410: 온도 측정부 420: 제어부

Claims (9)

  1. 하우징;
    상기 하우징의 내부에 설치되며, 기판이 대기하는 공간을 제공하는 버퍼 스테이지;
    상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 진공 상태로 형성하는 펌핑 부재; 및
    상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 대기압 상태로 형성하는 벤트 부재를 포함하며,
    상기 펌핑 부재는,
    진공 펌프;
    상기 진공 펌프와 상기 하우징을 연결하는 펌핑 라인; 및
    상기 펌핑 라인의 표면에 설치되며, 상기 펌핑 라인을 히팅시키는 히팅 부재를 포함하는 로드락 챔버.
  2. 제 1 항에 있어서,
    상기 히팅 부재는 러버 히터(rubber heater)인 로드락 챔버.
  3. 제 1 항에 있어서,
    상기 히팅 부재는 상기 펌핑 라인의 외부 표면을 둘러싸도록 설치되는 로드락 챔버.
  4. 제 1 항에 있어서,
    상기 히팅 부재는,
    고무를 소재로 하여 형성되는 제1 기재층;
    상기 제1 기재층 상에 형성되며, 전기를 이용하여 열을 생성하는 패턴층; 및
    상기 패턴층 상에 형성되며, 고무를 소재로 하여 형성되는 제2 기재층을 포함하는 로드락 챔버.
  5. 제 1 항에 있어서,
    상기 펌핑 라인의 내부 온도를 측정하는 온도 측정부; 및
    상기 펌핑 라인의 내부 온도와 기준 온도를 비교하여, 상기 펌핑 라인의 내부 온도와 상기 기준 온도를 비교하여 얻은 결과를 기초로 상기 히팅 부재를 제어하는 제어부를 더 포함하는 로드락 챔버.
  6. 제 5 항에 있어서,
    상기 제어부는 상기 기준 온도로 상기 하우징의 내부 온도를 이용하는 로드락 챔버.
  7. 기판이 일시 저장되는 공간을 제공하는 로드락 챔버;
    상기 기판이 수납된 캐리어가 안착되는 로드 포트;
    상기 로드 포트와 상기 로드락 챔버 사이에서 상기 기판을 반송하는 이송 프레임;
    상기 기판을 처리하는 공정이 수행되는 공정 챔버; 및
    상기 로드락 챔버와 상기 공정 챔버 사이에서, 또는 서로 다른 두 공정 챔버 사이에서 상기 기판을 반송하는 트랜스퍼 챔버를 포함하며,
    상기 로드락 챔버는,
    하우징;
    상기 하우징의 내부에 설치되며, 기판이 대기하는 공간을 제공하는 버퍼 스테이지;
    상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 진공 상태로 형성하는 펌핑 부재; 및
    상기 하우징의 하부에 설치되며, 상기 하우징의 내부를 대기압 상태로 형성하는 벤트 부재를 포함하고,
    상기 펌핑 부재는,
    진공 펌프;
    상기 진공 펌프와 상기 하우징을 연결하는 펌핑 라인; 및
    상기 펌핑 라인의 표면에 설치되며, 상기 펌핑 라인을 히팅시키는 히팅 부재를 포함하는 기판 처리 시스템.
  8. 제 7 항에 있어서,
    상기 히팅 부재는 상기 펌핑 라인의 외부 표면을 둘러싸도록 설치되는 기판 처리 시스템.
  9. 제 7 항에 있어서,
    상기 로드락 챔버는,
    상기 펌핑 라인의 내부 온도를 측정하는 온도 측정부; 및
    상기 펌핑 라인의 내부 온도와 기준 온도를 비교하여, 상기 펌핑 라인의 내부 온도와 상기 기준 온도를 비교하여 얻은 결과를 기초로 상기 히팅 부재를 제어하는 제어부를 더 포함하는 기판 처리 시스템.
KR1020190078809A 2019-07-01 2019-07-01 로드락 챔버 및 이를 구비하는 기판 처리 시스템 KR102241600B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190078809A KR102241600B1 (ko) 2019-07-01 2019-07-01 로드락 챔버 및 이를 구비하는 기판 처리 시스템

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190078809A KR102241600B1 (ko) 2019-07-01 2019-07-01 로드락 챔버 및 이를 구비하는 기판 처리 시스템

Publications (2)

Publication Number Publication Date
KR20210002929A true KR20210002929A (ko) 2021-01-11
KR102241600B1 KR102241600B1 (ko) 2021-04-20

Family

ID=74129188

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190078809A KR102241600B1 (ko) 2019-07-01 2019-07-01 로드락 챔버 및 이를 구비하는 기판 처리 시스템

Country Status (1)

Country Link
KR (1) KR102241600B1 (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020081730A (ko) * 2001-04-19 2002-10-30 삼성전자 주식회사 흄제거를 위한 반도체 제조장치
KR100980861B1 (ko) * 2010-01-21 2010-09-10 브라이톤 (주) 비발포성 히팅재킷
KR20120084063A (ko) 2011-01-19 2012-07-27 주성엔지니어링(주) 완충챔버를 가지는 로드락 챔버 및 이를 포함한 기판처리장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020081730A (ko) * 2001-04-19 2002-10-30 삼성전자 주식회사 흄제거를 위한 반도체 제조장치
KR100980861B1 (ko) * 2010-01-21 2010-09-10 브라이톤 (주) 비발포성 히팅재킷
KR20120084063A (ko) 2011-01-19 2012-07-27 주성엔지니어링(주) 완충챔버를 가지는 로드락 챔버 및 이를 포함한 기판처리장치

Also Published As

Publication number Publication date
KR102241600B1 (ko) 2021-04-20

Similar Documents

Publication Publication Date Title
US10134619B2 (en) Connecting mechanism and connecting method of substrate container
US8623457B2 (en) Vacuum processing system
US20080274288A1 (en) Vacuum processing apparatus and method
JP4916140B2 (ja) 真空処理システム
KR101015228B1 (ko) 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법
JP2013033965A (ja) 基板処理装置、基板処理設備、及び基板処理方法
TW201448096A (zh) 於負載鎖位置中處理基板之處理負載鎖設備、升降組件、電子裝置處理系統以及方法
JP7106681B2 (ja) デュアルロードロックチャンバ
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
US20100168889A1 (en) Substrate treatment apparatus, substrate treatment method and storage medium
JP7211716B2 (ja) ハロゲン除去モジュールならびに関連のシステムおよび方法
CN207353216U (zh) 基板处理装置
US11430679B2 (en) Semiconductor manufacturing apparatus
US20200168442A1 (en) Focus ring height adjusting device and wafer etching apparatus including the same
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
KR100896472B1 (ko) 반도체소자 제조를 위한 멀티챔버 시스템 및 기판 처리 방법
KR100803562B1 (ko) 기판 처리 장치
KR20220157468A (ko) 뱃치 열 프로세스 챔버
KR20080062220A (ko) 반도체소자 제조용 식각설비의 멀티챔버 시스템
KR100839187B1 (ko) 반도체 제조 설비의 트랜스퍼 챔버 및 그 챔버에서의 기판반송 방법
KR20080054759A (ko) 기판 처리 장치 및 방법
JP2004119627A (ja) 半導体製造装置
KR20080071681A (ko) 반도체소자 제조를 위한 멀티챔버 시스템
US20220148857A1 (en) Detection device, processing system, and transfer method
KR20080071680A (ko) 기판 제조를 위한 인라인 시스템

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant