TWI483306B - 基板製程系統中用於校準流量控制器的裝置及方法 - Google Patents

基板製程系統中用於校準流量控制器的裝置及方法 Download PDF

Info

Publication number
TWI483306B
TWI483306B TW100112859A TW100112859A TWI483306B TW I483306 B TWI483306 B TW I483306B TW 100112859 A TW100112859 A TW 100112859A TW 100112859 A TW100112859 A TW 100112859A TW I483306 B TWI483306 B TW I483306B
Authority
TW
Taiwan
Prior art keywords
flow
gas
verifier
conduit
flow controller
Prior art date
Application number
TW100112859A
Other languages
English (en)
Other versions
TW201212121A (en
Inventor
James P Cruse
John W Lane
Mariusch Gregor
Duc Buckius
Berrin Daran
Corie Lynn Cobb
Ming Xu
Andrew Nguyen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201212121A publication Critical patent/TW201212121A/zh
Application granted granted Critical
Publication of TWI483306B publication Critical patent/TWI483306B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8158With indicator, register, recorder, alarm or inspection means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53022Means to assemble or disassemble with means to test work or product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Flow Control (AREA)

Description

基板製程系統中用於校準流量控制器的裝置及方法
本發明的實施例大體上有關於基板製程裝置。
在諸如蝕刻製程的基板處理期間,製程腔室的內部體積可能暴露於一種或多種製程氣體下。通常利用一或多個流量控制器提供這類製程氣體至該內部體積中並且控制流率而以期望流率供應該些製程氣體。在一些製程腔室結構中,例如當共用氣體分配盤供應該些製程氣體至多個製程腔室時,本案發明人發現目前尚無方法可用以確認:該些流量控制器是否把該些來自共用氣體分配盤的製程氣體正確地分配至各個腔室。此外,本案發明人觀察到:在多腔室基板製程系統(例如群集工具)上通常沒有任何工具型裝置(on-tool apparatus)可用於監控各個腔室的流量控制器,以(例如)偵測或比較該系統不同腔室上的流量控制器之間的偏差。
因此,本案發明人提供可於基板製程系統中用以校準多個流量控制器的裝置及方法。
本案提出一種基板製程系統中用於校準多個氣體流量的方法及裝置。一些實施例中,基板製程系統可包含:一群集工具,該群集工具包含:與一中央真空傳送腔室耦接的第一製程腔室及第二製程腔室;一第一流量控制器,該第一流量控制器提供製程氣體至該第一製程腔室;一第二流量控制器,該第二流量控制器提供製程氣體至該第二製程腔室;一質量流量驗證器,該質量流量驗證器用以驗證各別來自第一與第二流量控制器的流率;一第一導管,該第一導管用以選擇性地使該第一流量控制器耦接至該質量流量驗證器;以及一第二導管,該第二導管用以選擇性地使該第二流量控制器耦接至該質量流量驗證器。
一些實施例中,基板製程系統可包含:一第一流量控制器以提供一製程氣體至第一製程腔室的第一區域;一第二流量控制器以提供該製程氣體至第二製程腔室的第二區域;一質量流量驗證器以驗證各別來自該第一及第二流量控制器的流率;一第一導管,該第一導管用以選擇性地使該第一流量控制器耦接至該質量流量驗證器;以及一第二導管,該第二導管用以選擇性地使該第二流量控制器耦接至該質量流量驗證器。
在一些實施例中,提供一種於基板製程系統中用以校準多個流量控制器的方法,該基板製程系統包含:與一中央真空傳送腔室耦接的第一製程腔室及第二製程腔室。在部分實施例中,該方法可包含以下步驟:從與第一製程腔室耦接的第一流量控制器以第一流率提供第一氣體;引導該第一氣體經由第一導管而流至一質量流量驗證器(mass flow verifier);使用該質量流量驗證器測量該第一流率;從與第二製程腔室耦接的第二流量控制器以第二流率提供第二氣體;引導該第二氣體經由第二導管而流至該質量流量驗證器;以及使用該質量流量驗證器測量該第二流率。
一些實施例中,提供一種於基板製程系統中用於校準多個流量控制器的方法。在部分實施例中,該方法可包含以下步驟:利用與第一製程腔室的第一區域耦接的第一流量控制器,提供第一氣體至質量流量驗證器;使用該質量流量驗證器,測量該第一氣體的第一流率;利用與該第一製程腔室的第二區域耦接的第二流量控制器,提供第二氣體至該質量流量驗證器;以及使用該質量流量驗證器,測量該第二氣體的第二流率,其中當該第二量控制器提供該第二氣體至該質量流量驗證器時,該第一流量控制器能提供該第一氣體至該第一區域。
本發明的其它與進一步實施例係說明如下。
本案揭示一種於基板製程系統中用以校準多個流量控制器的方法及裝置。該發明方法及裝置有利地幫助測量由一或多個流量控制器所提供的一或更多個流率,並且可與一參考標準(例如,質量流量驗證器)以及與不同配置中的一或多個製程腔室耦接的其它流量控制器兩者做直接比較。因此該發明系統及方法可有利地縮短校準各個流量控制器所需的時間,且增進該些流量控制器測量之間的一致性,從而有助於增進腔室匹配性,例如可增進二個不同腔室在相似製程條件下操作所得到的製程結果的一致性。
參閱第1圖,在一些實施例中,群集工具或多腔室製程系統100可能一般性地包含:一工廠界面102、一真空密封製程機台104、及一系統控制器144。可根據本案教示內容而做適當修改的製程系統範例包括:可購自美國加州聖塔克拉拉市應用材料公司的整合製程系統、系列製程系統的一(例如GTTM )、ADVANTEDGETM 製程系統、或其他合適的製程系統。可考慮:其它製程系統(包括來自其它製造商的系統)亦可經調適而受益於本發明。可根據本案教示內容進行修正而與本發明結合的雙腔室製程系統範例係描述於:由Ming Xu等人於2010年4月30日申請,且標題為「雙腔室處理系統」的美國專利臨時申請案61/330,156號中。
機台104可包含:多個製程腔室(示出6個)110、111、112、132、128、120、以及至少一個裝載鎖定腔室(示出兩個)122,且該裝載鎖定腔室122與一傳送腔室136耦接。每個製程腔室包含:一縫閥(slit valve)、或其它可選擇性密封的開口,以使該些製程腔室各自的內部容積可選擇性地與傳送腔室136的內部容積流體連通地耦接。同樣地,每個裝載鎖定腔室122包含:一通口125,以使該些裝載鎖定腔室122各自的內部容積可選擇性地與該傳送腔室136的內部容積流體連通地耦接。該工廠界面102經由該裝載鎖定腔室122而耦接至該傳送腔室136。
在一些實施例中,例如第1圖中所繪示的實施例中,該些製程腔室110、111、112、132、128、120可採取兩個製程腔室110與111、112與132、128與120為一組而分成數對腔室,且每對腔室中的製程腔室彼此相鄰設置。在一些實施例中,每對製程腔室可為一個雙腔室製程系統(101、103、105)的一部分,在該系統中,每一對製程腔室可各自設置於一共同外殼中,且如本文中所述般設置有某些共用來源。每個雙腔室製程系統101、103、105可包含:一對獨立的製程容積,該對製程容積可彼此隔開。例如,每個雙腔室製程系統可包含:一第一製程腔室及一第二製程腔室,該第一與第二製程腔室分別具有第一與第二製程容積。該第一與第二製程容積可彼此隔開,以有助於在各別製程腔室中實質獨立地處理基板。在雙腔室製程系統中的該些製程腔室的隔離製程容積可有利地減少或消除:在多基板製程系統中因處理期間該些製程容積可流體連通地耦接而引起的製程問題。
此外,雙腔室製程系統更有利於使用共用來源,共用來源有助於減少系統佔地面積、硬體費用、設備使用集成本及維修等等,同時可提高基板產量。例如第1圖所示,該些製程腔室可建構成:使製程腔室110與111、112與132以及128與120各腔室之間、以及/或在各個雙腔室製程系統101、103、105中的每對製程腔室中分別共用製程來源146A、146B、146C(統稱146),即製程系統供應器、電源、真空幫浦系統或諸如此類者。共用硬體及/或來源的其它範例可包括:一或更多個製程前置初抽幫浦、交流配電電源及直流電源、冷卻水配線、冷卻器、多通道溫控器、氣體分配盤、控制器、及諸如此類者。
一些實施例中,工廠界面102包含:至少一個塢站(docking station)108、以及至少一個工廠界面機械手114(示出兩個)以幫助傳送基板。塢站108建構成:可接收一或更多個前開式晶圓盒106A~B(FOUP,圖中示出兩個)。一些實施例中,工廠界面機械手114通常包含:一刀刃116,該刀刃116設置於機械手114的一末端,且經建構:用以將基板從工廠界面102通過裝載鎖定腔室122而傳送至製程機台104以進行處理。可隨意願地使一或多個測量站118連接至工廠界面102的一終端126,以便於測量來自前開式晶圓盒106A~B的基板。
一些實施例中,每個裝載鎖定腔室122可包含:第一通口123與第二通口125,第一通口123耦接至工廠界面102,以及第二通口125耦接至傳送腔室136。裝載鎖定腔室122可耦接至一壓力控制系統,該壓力控制系統可使裝載鎖定腔室122抽空或破真空(vent)以助於在傳送腔室136的真空環境與工廠界面102的實質周遭環境(例如大氣環境)之間傳遞基板。
一些實施例中,傳送腔室136內部設有一真空機械手130。真空機械手130通常包含:與一活動臂131耦接的一或多個傳送刀刃134(圖中示出兩個)。一些實施例中,例如當該些製程腔室110、111、112、132、128、120如第1圖所示般以兩個為一組時,真空機械手130可具有兩個平行傳送刀刃134,該些傳送刀刃134係經設計以使該真空機械手130可同時使兩個基板124、126從裝載鎖定腔室122傳送至各對製程腔室中(例如腔室110與111、112與132、以及120與128)。
該些製程腔室110、111、112、132、120、128可為任何用於基板處理的製程腔室類型。然而,為能使用該些共用來源,每一對製程腔室係同型腔室,例如蝕刻腔室、沉積腔室、或諸如此類者。可根據本案教示內容進行修改的適當蝕刻腔室的非限制性範例包括:購自美國加州聖塔克拉拉市應用材料公司所生產的去耦合電漿源(DPS)系列腔室、HARTTM 、E-蝕刻腔室的任一者。包括來自其他製造商在內的其它蝕刻腔室亦可使用。
每對製程腔室110與111、112與132、以及120與128可具有共用來源146A、146B或146C。例如在一些實施例中,該些共用來源可包含:一共用氣體分配盤(例如第1圖中所繪示用於共用來源146B、146C的氣體分配盤)以提供如下述的製程氣體。再者,該些共用來源可包含:一共用真空幫浦,以用於使每個製程腔室連同每個製程腔室的相鄰腔室一起抽空、或是單獨抽空各別製程腔室。可擇一或結合使用該共用真空幫浦,每個製程腔室可包含:各自獨有的真空幫浦(未示出)以用於抽空每個製程腔室的內部容積。
一些實施例中,該些共用來源包含:一位於製程腔室之間的共用氣體分配盤。例如,共用來源146B包含:一共用氣體分配盤150,如第1圖所示般該氣體分配盤150係由製程腔室112與製程腔室132兩者共用。共用氣體分配盤150可例如包含:多個製程氣體源,該些製程氣體源透過多個流量控制器與相關裝置而耦接至該些製程腔室112、132。如本文中使用「流量控制器」一詞係指:任何用於控制一種或多種氣體流經該流量控制器的流率的裝置,例如質量流量控制器、流量比控制裝置、流量控制孔、或諸如此類者。舉例而言,該共用氣體分配盤150的各個氣體源可耦接至一質量流量控制器,該質量流量控制器可計量從氣體源以期望流率流出的氣體。例如,可通過流量控制器152計量來自氣體分配盤150的第一製程氣體源(未示出)的第一製程氣體,以及可通過流量控制器154計量來自共用氣體分配盤150的第二製程氣體源(未示出)的第二製程氣體。該第一與第二製程氣體可離開離流量控制器152、154,並且進入與各流量控制器152、154的各別出口耦接的混合器156中。第一與第二製程氣體可在混合器156中混合及均質化,並且製程氣體的混合比例係與該些流量控制器152、154所計量的每種製程氣體的各別量成比例。
可經由一多通道流量比控制器或能控制分別供應至各製程腔室的氣體的其它類似裝置,使來自混合器156的出口且已混合的第一與第二製程氣體分配至製程腔室112、132,以及可隨意願地分配至一指定製程腔室中的一或多個區域。例如,一多通道流量比控制器158可包含:一共用入口160,以用於接收來自混合器出口的已混合的第一和第二製程氣體,並且可經由一或多個流量控制器(例如質量流量控制器、流量比控制器、固定孔或類似物、或上述裝置的組合)而將該已混合的第一和第二製程氣體分配至製程腔室112、132。在第1圖描述的實施例中,顯示出兩對流量控制器162、164、166、168,且第一對流量控制器(例如,162和164)係耦接至製程腔室112,以及第二對流量控制器(例如,166和168)係耦接至製程腔室132。
該多通道流量比控制器158可例如包含:一或更多個流量控制器,該一或多個流量控制係各別供應已混合的第一和第二製程氣體至各別製程腔室112、132,該兩個流量控制器耦接至第1圖中所繪的各腔室。例如,流量控制器162、164提供已混合的第一和第二製程氣體至製程腔室112,以及流量控制器164、166提供已混合的第一和第二製程氣體至製程腔室132。每一對流量控制器(例如,流量控制器162和164)可能以不同流率提供已混合的第一和第二製程氣體給每個製程腔室,例如給製程腔室112。舉例而言,流量控制器162提供已混合的第一和第二製程氣體通過製程腔室112的第一入口170的流率可能不同於該流量控制器164提供已混合的第一和第二製程氣體通過製程腔室112的第二入口172的流率。例如,該第一和第二入口170、172可能是一噴頭(未示出)的內部區域和外部區域、不同區域的氣體入口或諸如此類者。
該多腔室基板製程系統100更包含:一質量流量驗證器174,以驗證系統100中來自上述各流量控制器及更多的需要流率驗證的流量控制器的流率。例如,此類額外的流量控制器可能是該共用來源146A、或共用來源146C的一部分。該些共用來源146A和146C可具有一共用氣體分配盤、以及流量控制器的結構配置,且該流量控制器的結構配置係類似上述用於共用來源146B的流量控制器的結構配置。
質量流量驗證器174可為任何用以驗證質量流量控制器所提供的氣體流率的適當裝置。此類質量流量驗證器可例如藉著監控一段時間內於已知體積中的壓力升高速率而操作、或是利用其它適當方法用以獨立地確認任何一個受質量流量驗證器174所監控的流量控制器的流率。某些實施例中,質量流量驗證器174可安裝於該些雙腔室製程系統101、103、105的其中一者上。某些實施例中,質量流量驗證器174可安裝於該多腔室製程系統100的傳送腔室136上,或是安裝在其它某些適當位置以耦接至與該多腔室製程系統100的每個製程腔室耦接的各個流量控制器。
質量流量驗證器174可藉由位於流量控制器的出口下方(例如位於下游)的各別導管,而選擇性地耦接至每個流量控制器。藉著經由各別導管(而非利用來自供製程氣體混合的歧管的單一個導管)使每個流量控制器選擇性地耦接至該質量流量驗證器,本發明裝置有助於獨立地驗證及/或校準每個流量控制器,並且當驗證或校準來自該些流量控制器的其中一者的流率時,不會影響其它流量控制器提供製程氣體的能力。
每個導管可分別在一指定流量控制器的下游位置處藉由一多向閥(未示出)或類似物,而耦接至該指定流量控制器,該每個導管可選擇性地使各別流量控制器耦接至製程腔室、或質量流量驗證器174其中一者。例如,當希望驗證一流量控制器的流率時,可利用一多向閥引導通常從一流量控制器的出口流向一製程腔室的製程氣體流入該導管中,進而流入該質量流量驗證器174。於驗證期間,待驗證的流量控制器不提供製程氣體給製程腔室,但該系統100的其餘的所有流量控制器可繼續提供製程氣體給製程腔室,以(例如)用以處理基板或此類物件。某些實施例中,氣流可繞過該質量流量驗證器174,使得該些導管能快速排空,而無需流過該質量流量驗證器174,從而可加速在驗證不同氣流之間的氣體更換清洗動作。
例如,第1圖中顯示數個導管把各個流量控制器耦接至質量流量驗證器174。為了清楚表示,第1圖中省略一些導管。然而,該製程系統100的每個流量控制器可具有一導管用以把該指定的流量控制器耦接至質量流量驗證器174。例如,導管176使流量控制器152耦接至質量流量驗證器174。一類似導管(未示出)則使流量控制器154耦接至質量流量驗證器174。同樣地,導管178、180則使質量流量驗證器174耦接至個別流量控制器162、166,該些流量控制器可提供類似(或相同)氣流給相鄰製程腔室112、132中的對應地區或區域。再者,該些導管可使提供一種製程氣體的多個流量控制器耦接至同一個腔室。例如,導管180使該流量控制器166耦接至質量流量驗證器174,以及導管182使流量控制器168耦接至該質量流量驗證器174,其中該流量控制器166、168提供一種製程氣體給該製程腔室132。
某些實施例中,所有使系統100的各個流量控制器與質量流量驗證器174耦接的導管可具有:實質相等或大約相等的流導率(flow conductance)。本文中所使用「實質相等(或大約相等)」一詞係:包含具有約±10%的流導率偏差的意思。或者,在某些實施例中,把供應製程氣體的流量控制器耦接至不同製程腔室中的對應區域(例如各製程腔室中的第一區域、各製程腔室中的第二區域,等等)的該些導管可具有:實質相同或大約相等的流導率。舉例而言,該些把流量控制器162、166耦接在相鄰製程腔室112、132上的導管178、180可具有:實質相同或大約相等的流導率,而得以利用質量流量驗證器174比較個別流量控制器162、166的流率。同樣地,使流量控制器152與質量流量驗證器174耦接的導管176以及使流量控制器186與該質量流量驗證器耦接的導管184可具有:實質相同或大約相等的流導率。在前述例子中,流量控制器186供應給該雙腔室製程系統103的製程氣體以及該流量控制器152供應給該雙腔室製程系統105的製程氣體係相同製程氣體。
或者,可擇一或結合使全部或數對的導管具有實質相似的流導率,該質量流量驗證器174可設計用以產生扼流(choked flow),其中例如從一導管進入該質量流量驗證器174的製程氣體的流率係與該導管的流導率無關。例如,質量流量驗證器174可更包含:一臨界流體噴嘴188,該臨界流體噴嘴188設置於質量流量驗證器174的入口處,使得該製程氣體在進入質量流量驗證器174時會流經該臨界流體噴嘴。例如可依據入口孔及出口孔的直徑、長度、形狀或諸如此類因素來設計該臨界流體噴嘴188,以使進入該臨界流體噴嘴188中的任何氣體的流率標準化(normalize),而不受提供氣體的導管的流導率所影響。例如,該臨界流體噴嘴可能提供限制,使得跨越該整個臨界流體噴嘴的壓降至少是該壓力的一半(例如該臨界流體噴嘴的緊接上游處的第一壓力至少是該臨界流體噴嘴緊接下游處的第二壓力的至少兩倍大)。可擇一或結合使全部或數對的導管具有實質相似的流導率,及/或使該質量流量驗證器174設計成用以產生扼流,在某些實施例中,可使下游的流導率最小化以提供較低的基線壓力(baseline pressure),從而能夠使用較高的流率。
系統控制器144耦接至製程系統100,以用於控制該製程系統100或該製程系統100的構件。例如,系統控制器144可利用直接控制系統100的該些製程腔室110、111、112、132、128、120,或者藉著控制與該些製程腔室110、111、112、132、128、120及系統100相關聯的電腦(或控制器),來控制系統100的操作。操作時,系統控制器144能收集資料,並且根據各別腔室和系統控制器144的回饋使系統100的效能最佳化。
系統控制器144通常包含:一中央處理單元(CPU)138、一記憶體140、及支援電路142。CPU 138可為能用於工業設定的任何形式的通用電腦處理器。CPU 138可存取該記憶體或電腦可讀媒體140,並且該記憶體或電腦可讀媒體140可能是一或多個可輕易取得的遠端或內建記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其它形式的數位儲存器。該些支援電路142一般耦接至CPU 138,並且可包含:快取記憶體、時鐘電路、輸入/輸出子系統、電源供應器、及諸如此類者。本案中所描述的發明方法通常可作為軟體常式儲存於記憶體140中、或儲存於如上述特定一對製程腔室的記憶體中,而當利用該CPU 138執行該發明方法時可使該對製程腔室根據本發明執行製程。
第2圖繪示根據本發明某些實施例於基板製程系統中校準多個流量控制器的方法200的流程圖。以下將依據第1圖所繪的發明裝置說明該方法200。
於步驟202,可使該與第一製程腔室耦接的第一流量控制器以第一流率供應至第一製程腔室的第一氣體提供給一質量流量驗證器。例如,該第一流量控制器可能是上述系統100的任一個流量控制器,然而以下將參照雙腔室製程系統105的流率控制器162、166及168說明該方法200。因此,為描述該方法200,該第一流量控制器可為流量控制器166,該流量控制器166可於標準操作條件下提供第一氣體至製程腔室132;然而餘留量驗證期間,係如上述般通過導管180引導該第一氣體流至質量流量驗證器174。例如,於標準操作條件下,該流量控制器166經由第一入口171提供第一氣體至製程腔室,其中該第一入口171實質上相當於上述製程腔室112的第一入口170。
於步驟204,使用質量流量驗證器174測量該流量控制器166的第一流率。例如,可利用已知體積中的壓力上升速率或類似的驗證方法測量該第一流率。如上所述,質量流量驗證器174可設計用於產生扼流(choked flow)、或每個導管的流導率可為已知或實質相似。例如,若質量流量驗證器174所測得的第一流率與該流量控制器166所讀取的第一流率實質不相同時,可根據該質量流量驗證器174所測得的第一流率,校準該流量控制器166。舉例而言,在某些實施例中若所測得的第一流率與該流量控制器166所讀取的第一流率之間存在約1%至約5%的差異,該流量控制器166可能需要校準。例如,若該差異小於約1%,則流量控制器166可視為處於可操作的條件下。若該差異大於約5%,則需更換該流量控制器166。
於步驟206,待使用質量流量驗證器174測量流量控制器166的第一流率之後,可清空該質量流量驗證器174中的第一氣體。例如,可藉著從導管180引導第一氣體回到製程腔室132及/或關閉該流量控制器166,而清空該質量流量驗證器174中的第一氣體。待通過導管180的第一氣體氣流停止後,可利用與該系統耦接或屬於該系統100的一部份的真空幫浦或類似裝置經由質量流量驗證器174的出口(未示出)移除第一氣體。
於步驟208,可使該利用與第二製程腔室耦接的第二流量控制器以第二流率供應至第二製程腔室的第二氣體提供給該質量流量驗證器174。例如,該第二流量控制器可為流量控制器162,該第二流量控制器可為流量控制器可在標準操作條件下供應第二氣體至製程腔室112;然而於流量驗證期間,係如上述般通過導管178引導該第二氣體流至質量流量驗證器174。在某些實施例中,該第二氣體實質上可相當於第一氣體。在一些實施例中,該第二流率實質上可相當於第一流率。在某些實施例中,當利用質量流量驗證器174驗證該流量控制器162的流率時,流量控制器166可提供第一氣體至製程腔室132。在某些實施例中,當正在驗證該流量控制器162的流率時,可關閉流量控制器166。同樣地,當於如上述般於步驟202及204驗證流量控制器166的同時,該流量控制器162可提供第一氣體至製程腔室112或關閉任選一者。
於步驟210,可使用質量流量驗證器174測量該流量控制器162的第二流率。例如,可藉由以上步驟204所述的任一種方法測量該第二流率。舉例而言,在某些實施例中,若測得的第二流率與流量控制器162所讀取的第二流率之間存在約1%至約5%的差異時,則流量控制器162可能需要校準。例如,若該差異小於約1%,該流量控制器162可視為處於可操作的條件。若該差異大於約5%,則需更換該流量控制器162。
可替代方法步驟208~210或與步驟208~210合併使用,方法200可進行至步驟302(如第3圖所示),於步驟302中,可使第三流量控制器以第三流率供應至第一製程腔室的第一氣體提供給該質量流量驗證器174。例如,第三流量控制器可為流量控制器168,該流量控制器在標準操作條件下提供第一氣體至製程腔室132;然而於流量驗證期間,係如上述般透過導管182引導該第一氣體流至質量流量驗證器174。例如,於標準操作條件下,流量控制器168經由第二入口173提供第一氣體至製程腔室,其中該第二入口173實質上相當於上述製程腔室112的第二入口172。例如,於步驟206清除質量流量驗證器174中的第一氣體之後,可使方法200進行至步驟302。或者,於步驟210驗證流量控制器162後,並且清除質量流量驗證器174中的第二氣體後(未繪示於第2~3圖的方法200的流程圖中),可使方法200進行至步驟302。
如上述,流量控制器166、168可為多通道流量比控制器158的一部分,該多通道流量比控制器158包含:一共用入口160以用於接收第一氣體,該第一氣體可例如是由混合器156的出口所提供的製程氣體混合物,並且該多通道流量比控制器158可經由一或更多個流量控制器分配該第一氣體(例如單一種氣體或氣體混合物)至該些製程腔室112、132,例如在此示範實施例中,是經由流量控制器166、168分配氣體至製程腔室132。例如,流量控制器166、168可使第一入口與第二入口171、173之間以期望的流率比提供第一氣體至製程腔室132。例如可藉著設定流量控制器166、168的第一和第三流率至期望流率來達到期望的流率比。為了確認:藉由該些流量控制器166、168以期望的流率比輸送至製程腔室132,可利用質量流量驗證器174獨立地驗證每個流量控制器的流率。例如上述般,流量控制器166係於步驟204經驗證。
於步驟304,使用質量流量驗證器174測量該流量控制器168的第三流率。例如,利用如於步驟204所述的該些方法中的任一方法測量第三流率。舉例而言,在某些實施例中,若所測得的第三流率與該流量控制器168讀取的第三流率之間存在約±5%的差異時,則該流量控制器168可能需要校準。
如同上述實施例,該些流量控制器162、166的任一者或兩者可停止運作(idled)、或供應氣體至各別製程腔室112、132的一者,同時如下所述般地驗證該流量控制器168。例如在某些實施例中,可經由流量控制器162(及/或經由流量控制器164)提供第二氣體至製程腔室112以處理放置於製程腔室112中的基板(未示出),同時利用質量流量驗證器174驗證該流量控制器168。此外,當利用質量流量驗證器174驗證該流量控制器168的同時,該流量控制器166可以停止運作或正在運作。
再者,於步驟304測量該第三流率之後,可藉著比較所測得的第一與第三流率而測定出該流量控制器166、168將要經由第一與第二入口171、173而供應至該製程腔室132的第一氣體的流率比。同樣如上述般,可各自依據所測得知流率比來校準該第一與第三流量控制器,或者可根據所測得的流率比而整體校準該多通道流量比控制器158。
於步驟306,於完成測量該流量控制器168的第三流率後,清空質量流量驗證器174中的第一氣體。例如,可使用上述方法中的任一種方法,清空該質量流量驗證器174。於步驟306中清空質量流量驗證器174的第一氣體後,該方法200可例如進行至步驟208、或選擇驗證另一個流量控制器,例如可選擇驗證與該雙腔室製程系統103的共用來源146C連接的流量控制器186或其他流量控制器。或者,若該系統100的所有流量控制器皆已利用方法200加以驗證,則可再次執行或定期地執行該方法200,或於製程執行期望次數之後、或是希望驗證該系統100的流量控制器的校準時可執行該方法200。
雖然上述內容係有關群集工具的結構配置,但以上教示內容亦可用於修改具有多個區域的單一製程腔室,以幫助確認流率並且校準與該單一製程腔室的多個區域耦接的多個流量計量器。可擇一或額外地根據本案教示內容,修改多個鄰近設置的製程腔室以共用一個質量流量驗證器。一些實施例中,可根據本案教示內容修改一種雙腔室製程系統(例如系統101)以共用一個質量流量驗證器,而無需安裝在群集工具上。
因此,本案揭示於基板製程系統中用於校準多個流量控制器的方法與裝置。該發明方法及裝置有利地幫助測量由一或更多個流量控制器所提供的一可與或更多個流率,並且可與一參考標準(例如,質量流量驗證器)以及與不同配置中的一或更多個製程腔室耦接的其它流量控制器兩者做直接比較。因此該些發明系統及方法可有利地縮短校準各個流量控制器所需的時間,且增進該些流量控制器測量之間的一致性,從而有助於增進腔室匹配性,例如可增進不同腔室在相似製程條件下操作所得的製程結果的一致性。
雖然上述內容係描述本發明的多個實施例,但在不偏離本發明基本範圍下當可做出其它或進一步的發明實施例。
100...多腔室製程系統
101、103、105...雙腔室製程系統
102...工廠界面
104...真空密閉製程機台
106A~B...前開式晶圓盒
108...塢站
110、111、112...製程腔室
114...工廠界面機械手
116...刀刃
118...測量站
120、128、132...製程腔室
122...裝載鎖定腔室
123...第一通口
124、126...基板
125...第二通口
130...真空機械手
131...活動臂
134...傳送刀刃
136...傳送腔室
138...中央處理單元
140...記憶體
142...支援電路
144...系統控制器
146A~C...製程來源
150...共用氣體分配盤
152、154...流量控制器
156...混合器
158...流量比例控制器
160...共用入口
162、164、166、168、186...流量控制器
170、171...第一入口
172、173...第二入口
174...質量流量控制器
176、178、180、182、184...導管
188...臨界流體噴嘴
200...方法
202、204、206、208、210...步驟
302、304、306...步驟
本發明的實施例係已概括總結於發明內容中以及於實施方式中做進一步詳細說明,並可參照附圖中所繪示的本發明示範實施例而理解該些實施例。然而應注意的是,該些附圖僅繪示本發明的典型實施例,因此不應視為本發明範圍的限制,本發明可能容許其它等效實施例。
第1~1A圖繪示根據本發明部分實施例的多腔室基板製程系統的概要俯視圖。
第2圖係根據本發明部分實施例繪示於基板製程系統中用以校準多個流量控制器的方法流程圖。
第3圖係根據本發明部分實施例繪示於基板製程系統中用以校準多個流量控制器的方法流程圖。
為便於瞭解,係盡可能地使用相同元件參照符號代表該些圖式中共有的相同元件。為求圖式清晰,該些圖式未按比例繪製且經簡化。應可理解無需進一步詳細說明,即可將一實施例的元件及特徵有利地併入其他實施例中。
200...方法
202、204、206、208、210...步驟

Claims (20)

  1. 一種基板製程系統,該基板製程系統包含:一群集工具,該群集工具包含:一第一製程腔室及一第二製程腔室,該第一與該第二製程腔室係耦接至一中央真空傳送腔室;一第一流量控制器,該第一流量控制器提供一製程氣體至該第一製程腔室;一第二流量控制器,該第二流量控制器提供該製程氣體至該第二製程腔室;一質量流量驗證器,該質量流量驗證器驗證各別來自該第一及第二流量控制器的流率;一第一導管,該第一導管選擇性地使該第一流量控制器耦接至該質量流量驗證器;以及一第二導管,該第二導管選擇性地使該第二流量控制器耦接至該質量流量驗證器。
  2. 如申請專利範圍第1項所述的基板製程系統,其中該一及第二導管具有相同的流導率。
  3. 如申請專利範圍第1項所述的基板製程系統,更包含:一共用氣體分配盤,該共用氣體分配盤用以提供該製程氣體至該第一及第二流量控制器。
  4. 如申請專利範圍第1項所述的基板製程系統,更包含:一第三流量控制器,該第三流量控制器提供該製程氣體至該第一製程腔室;以及一第三導管,該第三導管選擇性地使該第三流量控制器耦接至該質量流量驗證器,以驗證來自該第三流量控制器的流率;其中當該第一、第二或第三流量控制器的任一者由該質量流量驗證器驗證時,該第一、第二或第三流量控制器的任意兩者能夠使該製程氣體各別流至該第一或第二程腔室的一者。
  5. 如申請專利範圍第1項所述的基板製程系統,其中該質量流量驗證器更包含:一臨界流體噴嘴,其中該第一氣體流經該臨界流體噴嘴的流率係與該第一導管中的流導率無關,以及其中該第二氣體流經該臨界流體噴嘴的流率係與該第二導管中的流導率無關。
  6. 一種基板製程系統,該基板製程系統包含:一第一流量控制器,該第一流量控制器提供一製程氣體至一第一製程腔室的一第一區域;一第二流量控制器,該第二流量控制器提供該製程氣體至該第一製程腔室的一第二區域;一質量流量驗證器,該質量流量驗證器驗證各別來 自該第一及第二流量控制器的流率;一第一導管,該第一導管選擇性地使該第一流量控制器耦接至該質量流量驗證器;以及一第二導管,該第二導管選擇性地使該第二流量控制器耦接至該質量流量驗證器。
  7. 一種於一基板製程系統中用以校準多個流量控制器的方法,該基板製程系統包含:與一中央真空傳送腔室耦接的一第一製程腔室及一第二製程腔室,該方法包含以下步驟:從與一第一製程腔室耦接的一第一流量控制器以一第一流率提供一第一氣體;引導該第一氣體經由一第一導管而流至一質量流量驗證器;使用該質量流量驗證器測量該第一流率;從與一第二製程腔室耦接的一第二流量控制器以一第二流率提供一第二氣體;引導該第二氣體經由一第二導管而流至該質量流量驗證器;以及使用該質量流量驗證器測量該第二流率。
  8. 如申請專利範圍第7項所述的方法,更包含以下步驟:依據利用該質量流量驗證器所測得的該第一流率,校準該第一流量控制器;以及 依據利用該質量流量驗證器所測得的該第二流率,校準該第二流量控制器。
  9. 如申請專利範圍第7項所述的方法,其中使該第一流量控制器與該質量流量驗證器耦接的一第一導管以及使該第二流量控制器與該質量流量驗證器耦接的一第二導管具有實質相同的流導率。
  10. 如申請專利範圍第7項所述的方法,其中使該第一流量控制器與該質量流量驗證器耦接的一第一導管以及使該第二流量控制器與該質量流量驗證器耦接的一第二導管具有不同的流導率,並且其中該質量流量驗證器更建構成:使得該第一氣體從該第一導管進入該質量流量驗證器的流率與該第一導管中的流導率無關,以及使得該第二氣體通過該第二導管進入該質量流量驗證器的流率與該第二導管中的流導率無關。
  11. 如申請專利範圍第7項所述的方法,更包含以下步驟:使該第一氣體從一第一氣體分配盤流至該第一流量控制器;以及使該第二氣體從一第二氣體分配盤流至該第二流量控制器。
  12. 如申請專利範圍第7項所述的方法,其中該第一氣體及該第二氣體為相同氣體,以及其中該一氣體及該第二氣體係從位於該第一與第二製程腔室之間所共享的一共用氣體分配盤供應至該第一和第二流量控制器。
  13. 如申請專利範圍第7項所述的方法,更包含以下步驟:於完成該第一流量控制器的該第一流率的測量之後,並且在使用該第二流量控制器提供該第二氣體至該質量流量驗證器之前,停止該第一流量控制器。
  14. 如申請專利範圍第7項所述的方法,更包含以下步驟:於完成該第一流量控制器的該第一流率的測量之後,藉由該第一質量流量控制器重新使該第一氣體流至該第一製程腔室;以及利用該第一流量控制器維持該第一氣體流至該第一製程腔室,同時測量該第二流量控制器的該第二流率。
  15. 如申請專利範圍第7項所述的方法,更包含以下步驟:從與該第一製程腔室耦接的一第三流量控制器以一第三流率提供該第一氣體;引導該第一氣體經由一第三導管而流至該質量流量 驗證器;以及使用該質量流量驗證器測量該第三流率,其中該第一流量控制器提供該第一氣體至該第一製程腔室的一第一氣體入口,以及該第三流量控制器提供該第一氣體至該第一製程腔室的一第二氣體入口。
  16. 如申請專利範圍第15項所述的方法,更包含以下步驟:比較所測得的第一及第三流率,以測定一流率比;以及依據所測得的流率比來校準該第一及第三流量控制器。
  17. 如申請專利範圍第15項所述的方法,其中該質量流量驗證器更建構成:使得該第一氣體從一第一導管進入該質量流量驗證器的流率與該第一導管中的流導率無關,以及使得該第一氣體通過一第三導管進入該質量流量驗證器的流率與該第三導管中的流導率無關。
  18. 如申請專利範圍第7項所述的方法,其中使該第一流量控制器與該質量流量驗證器耦接的一第一導管以及使該第二流量控制器與該質量流量驗證器耦接的一第二導管具有不同體積,其中該質量流量驗證器更建構成:使得該第一氣體從該第一導管進入該質量流量驗證器的 流率與該第一導管中的體積無關,以及使得該第二氣體通過該第二導管進入該質量流量驗證器的流率與該第二導管中的體積無關。
  19. 一種於一基板製程系統中用於校準多個流量控制器的方法,該方法包含以下步驟:利用與一第一製程腔室的一第一區域耦接的一第一流量控制器,提供一第一氣體至一質量流量驗證器;使用該質量流量驗證器,測量該第一氣體的一第一流率;利用與該第一製程腔室的一第二區域耦接的一第二流量控制器,提供一第二氣體至該質量流量驗證器;以及使用該質量流量驗證器測量該第二氣體的一第二流率,其中當該第二流量控制器提供該第二氣體至該質量流量驗證器時,該第一流量控制器能提供該第一氣體至該第一區域。
  20. 如申請專利範圍第19項所述的方法,其中以各自所欲的流率提供該第一氣體及該第二氣體以獲得一期望的流率比,並且該方法更包含以下步驟:測量該第一及第二流率之間的一實際流率比;以及比較該實際流率比和該期望流率比,以判斷是否提供該期望的流率比。
TW100112859A 2010-04-30 2011-04-13 基板製程系統中用於校準流量控制器的裝置及方法 TWI483306B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33005610P 2010-04-30 2010-04-30
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems

Publications (2)

Publication Number Publication Date
TW201212121A TW201212121A (en) 2012-03-16
TWI483306B true TWI483306B (zh) 2015-05-01

Family

ID=44857185

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100112859A TWI483306B (zh) 2010-04-30 2011-04-13 基板製程系統中用於校準流量控制器的裝置及方法

Country Status (6)

Country Link
US (1) US8707754B2 (zh)
JP (1) JP5986988B2 (zh)
KR (1) KR101451091B1 (zh)
CN (1) CN103038867B (zh)
TW (1) TWI483306B (zh)
WO (1) WO2011137071A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI766911B (zh) * 2016-12-13 2022-06-11 奧地利商蘭姆研究股份公司 用以控制於一基板配發的液體之溫度的使用點混合系統及方法

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5054500B2 (ja) * 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9062993B2 (en) * 2012-05-22 2015-06-23 E I Du Pont De Nemours And Company Method and apparatus for liquid flow calibration check
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104733347B (zh) * 2013-12-24 2018-03-09 北京北方华创微电子装备有限公司 半导体加工设备中气体切换的装置、方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5775633B1 (ja) * 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020148473A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法及び基板処理システム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2023501600A (ja) * 2019-11-12 2023-01-18 アプライド マテリアルズ インコーポレイテッド ガス伝達システム及び方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7411479B2 (ja) * 2020-03-31 2024-01-11 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) * 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5526674A (en) * 1992-04-30 1996-06-18 Sierra Instruments, Inc. Method and apparatus for improved flow rate measurement and calibration
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
JP2001159549A (ja) * 1999-12-02 2001-06-12 Osaka Gas Co Ltd 流路切替式ガスメータ
US20020046612A1 (en) * 2000-08-22 2002-04-25 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
TW200507141A (en) * 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JP4818589B2 (ja) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 処理装置
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4086057B2 (ja) * 2004-06-21 2008-05-14 日立金属株式会社 質量流量制御装置及びこの検定方法
KR100754386B1 (ko) 2004-10-28 2007-08-31 삼성전자주식회사 양방향 화학기상증착 시스템 및 이를 이용한 펄스형 공정진행 방법
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
JP2007208085A (ja) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp プラズマ処理装置及びその分流比検定方法
WO2007102319A1 (ja) * 2006-03-07 2007-09-13 Ckd Corporation ガス流量検定ユニット
JP4765746B2 (ja) * 2006-04-17 2011-09-07 日立金属株式会社 遮断弁装置及びこれを組み込んだ質量流量制御装置
US7822570B2 (en) * 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US20080302426A1 (en) * 2007-06-06 2008-12-11 Greg Patrick Mulligan System and method of securing removable components for distribution of fluids
KR20090025823A (ko) * 2007-09-07 2009-03-11 한국표준과학연구원 이동없이 가능한 진공게이지의 교정/시험 장치 및 그 방법
JP4598044B2 (ja) * 2007-10-29 2010-12-15 シーケーディ株式会社 流量検定故障診断装置、流量検定故障診断方法及び流量検定故障診断プログラム
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US9644796B2 (en) * 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US9772629B2 (en) * 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI766911B (zh) * 2016-12-13 2022-06-11 奧地利商蘭姆研究股份公司 用以控制於一基板配發的液體之溫度的使用點混合系統及方法

Also Published As

Publication number Publication date
CN103038867A (zh) 2013-04-10
KR101451091B1 (ko) 2014-10-15
CN103038867B (zh) 2016-03-16
US8707754B2 (en) 2014-04-29
JP5986988B2 (ja) 2016-09-06
US20110265549A1 (en) 2011-11-03
TW201212121A (en) 2012-03-16
KR20130025863A (ko) 2013-03-12
JP2013529381A (ja) 2013-07-18
WO2011137071A3 (en) 2012-03-01
WO2011137071A2 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
TWI483306B (zh) 基板製程系統中用於校準流量控制器的裝置及方法
JP5330709B2 (ja) 処理チャンバへのガスフローを制御する方法及び装置
TWI646610B (zh) 雙腔室處理系統
JP5582684B2 (ja) 半導体処理システムにガスを分配する装置及び半導体処理チャンバにガスを分配する装置
US7775236B2 (en) Method and apparatus for controlling gas flow to a processing chamber
TWI589726B (zh) 使用多區域氣體進料器之電漿處理室中的共用氣體面板
JP5361847B2 (ja) 基板処理方法、この基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
US10312062B2 (en) Temperature control system and temperature control method
KR20150116420A (ko) 구성 독립된 가스 전달 시스템
CN108363429B (zh) 控制分配在衬底上的液体温度的使用点混合系统和方法
JP2015167161A (ja) 液処理装置、液処理方法及び記憶媒体
TWI773867B (zh) 動態冷卻劑混合歧管
US20200049547A1 (en) Hybrid flow metrology for improved chamber matching
TWI807659B (zh) 流體流動控制系統