JP5330709B2 - 処理チャンバへのガスフローを制御する方法及び装置 - Google Patents

処理チャンバへのガスフローを制御する方法及び装置 Download PDF

Info

Publication number
JP5330709B2
JP5330709B2 JP2008043004A JP2008043004A JP5330709B2 JP 5330709 B2 JP5330709 B2 JP 5330709B2 JP 2008043004 A JP2008043004 A JP 2008043004A JP 2008043004 A JP2008043004 A JP 2008043004A JP 5330709 B2 JP5330709 B2 JP 5330709B2
Authority
JP
Japan
Prior art keywords
gas
manifold
flow
processing chamber
foreline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008043004A
Other languages
English (en)
Other versions
JP2008211219A5 (ja
JP2008211219A (ja
Inventor
ロバート ゴールド エズラ
チャールズ フォーベル リチャード
パトリック クルーズ ジェームズ
アハマド リー ジャード
ゲオフリオン ブルーノ
アーサー バックバーガー ジュニア ダグラス
ジェフリー サリナス マーティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008211219A publication Critical patent/JP2008211219A/ja
Publication of JP2008211219A5 publication Critical patent/JP2008211219A5/ja
Application granted granted Critical
Publication of JP5330709B2 publication Critical patent/JP5330709B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

背景
(発明の分野)
本発明の実施態様は、概して、処理チャンバへのガスフローを制御する方法及び装置に関する。
(関連技術の説明)
ガスフローの正確な制御は、多くのマイクロエレクトロニックデバイス製造プロセスにとって欠かせない重要なプロセス制御属性である。半導体処理チャンバにおいて、基板と基板サポートの間にガスを提供することは、基板と基板サポートの間の熱伝達を改善するのに周知の方法であり、これによって、基板温度制御及び均一性の精密さが向上する。加えて、処理チャンバへの処理ガスフローの精密な制御は、所望の処理結果、特に、限界寸法及びフィルム厚さ収縮を達成するためには必要なことである。更に、処理チャンバ廃液流にガスを添加すると、基板処理の環境的な影響を緩和することができる。費用効率と適切な改善の両方を確保するには、廃液流に添加されたガスを良好に制御することが必要である。
半導体処理チャンバに用いられている従来のガス分配システムは、概して、主たるフロー調節デバイスとして、マスガスフローメータ(MFC)を有する。しかしながら、MFCの精度は、実際のガスフローの不確実性の原因となる複数の因子に影響される。例えば、MFCの精度は、典型的に、温度、ライン圧力及び容積の変化によって変わる。MFCの不正確さによる、ガスフロー設定点からの変位が、処理欠陥、排出制御不良、及び高価なガスの非効率的な消費を招く。
従来の圧力制御システムは、比較的信頼性があることが証明されてはいるものの、既存の技術での現場での経験により、フローのより正確な測定に対する要求が高まっている。例えば、裏側基板冷却用途に用いるガスフローの制御が不良であると、基板温度制御が不良となって、フィルム堆積やエッチング結果が不良となり、次世代の回路設計に耐えることができない。
更に、従来のガス分配システムは、概して、ガス源から処理チャンバへガスを送るのに固定した管を有している。このように、常に、プロセスガスの所定の組み合わせしか処理チャンバへ分配できない。かかる固定したガス分配送路だと、プロセス柔軟性がない。例えば、固定したガス分配送路を有する処理チャンバは、異なる組み合わせのプロセスガスを必要とする新たな、又は修正されたプロセスレシピに対応することはできない。加えて、第1のプロセスを実施するために、ある組み合わせの処理ガスを分配するよう設計された、固定したガス分配送路を有する処理チャンバだと、異なる組み合わせのガスを利用する第2のプロセスを実施することができず、処理チャンバを他のプロセスに利用できず、FAB所有者には、更なる設備投資が必要となる。このように、柔軟性のあるガス分配システムを考案することが望ましい。
従って、半導体処理システムへのガスの分配を制御する方法及び装置を改善する必要がある。
概要
半導体処理システムにガスを分配する方法及び装置が提供される。一実施形態において、ガスを半導体処理システムに分配する装置は、入口ポートを夫々有する複数のガス入力ラインと、出口ポートを夫々有する複数のガス出力ラインとを含む。複数の接続ラインが提供されて、各対のガス入力及びガス出力ラインを結合する。接続バルブが配置されて、各接続ラインを通してフローを制御する。複数のマスガスフローコントローラが配置されて、各入口ポートへのフローを制御する。
他の実施形態において、フォアラインを通して、設備排気孔に結合された処理チャンバを含む処理システムへのガスフローを制御する方法が提供され、第1、第2、第3及び第4の出口のうち少なくとも1つに選択的に結合された少なくとも第1、第2、第3及び第4の入口を有するマニホルドを提供し、処理又は較正回路の前に、処理チャンバをバイパスする真空環境に、マニホルドを通して、1種類以上のガスを流し、基板処理中、1種類以上のガスを処理チャンバへ流すことを含む。
他の実施形態において、フォアラインを通して、設備排気孔に結合された処理チャンバを含む処理システムへのガスフローを制御する方法が提供される。この方法は、第1のガス源からの第1のガスを、少なくとも第1の出口ポート、第2の出口ポート、第3の出口ポート及び第4の出口ポートを有するマニホルドへ流し、第2のガス源からの第2のガスをマニホルドへ流し、マニホルド内のバルブの動作状態を選択して、処理モードにありながら、第1及び第2のガスを、第2又は第3の出口ポートのうち少なくとも1つを通して出し、マニホルド内のガスの所定の状態が得られるまで、第1及び第2のガスを、マニホルドを通して、処理チャンバをバイパスするフォアラインへ流し、所定の状態が得られた後、マニホルドを出て、処理チャンバへ第1及び第2のガスを指向させ、処理チャンバ内で基板を処理することを含む。
詳細な説明
図1に、典型的な半導体処理チャンバ114に、ガスを供給するのに用いられるガス分配システム100の概略を示す。処理チャンバ114は、化学蒸着(CVD)、物理蒸着(PVD)、エッチングプロセス、イオン注入、熱処理、アッシング、脱ガス、配向又はその他真空処理技術を実施するように構成されている。コントローラ150が、処理チャンバ114及びガス分配システム100に結合されており、その動作を制御する。コントローラ150は、通常、プロセッサ、サポート回路及びメモリを含む。
処理チャンバ114の外側に位置するガス分配システム100は、ガスマニホルド134に結合された複数のガス源を有する。マニホルド134は、複数のバルブ(後述する)を含み、これらは、ガス源の任意の組み合わせを、処理チャンバ114に結合可能とさせる。マニホルド134はまた、排気を迅速にし、且つ、個々のシステムコンポーネント及び導管のフロー診断を可能とするように構成されている。システム100は、多数のガス源とインタフェースするように構成されていてよいが、図1に図示された実施形態には、6つのガス源102A〜Fが示されている。
各ガス源102A〜Fは、夫々のマニホルド入口ポート104A〜Fに結合されている。シャットオフバルブ142及びマスフローメータ(MFC)170が、各ガス供給源102A〜Fと、夫々のマニホルド入口ポート104A〜Fの間に配置されていて、マニホルド134に入る各源102A〜Fからのガスのフローを制御する。マニホルド134は、複数のマニホルド出口ポート106A〜Fを有しており、これらは、マニホルド入口ポート104A〜Fを通じて、ガス供給源102A〜Fのいずれか1つに選択的に結合されていてよい。出口ポート106A〜Fの少なくとも1つは、較正回路144及び/又はパージライン154に結合されていてもよい。
図1に示す実施形態において、6つのガス出口ポート106A〜Fが提供されている。第1のガス分配出口ポート106Aは、較正回路144に結合されており、ガスフローを正確に測定するように構成されている。較正回路144は、固定フロー状態を提供するようなサイズのオリフィス130を有している。一実施形態において、オリフィス130は、処理チャンバ114の制限と実質的に等しい制限を与えるサイズである。オリフィス130は、ガスが処理チャンバ114を流れる時に存在する条件と同様のフロー条件をマニホルド134に作成する。較正回路144を利用して、MFC又はその他システムコンポーネントのフロー診断を実施してもよい。実際の処理チャンバ114に流す必要はない。オリフィス130は、実験、実証的分析により、又はその他好適な方法により決めてもよい。一実施形態において、オリフィス130は、オリフィス130の下流圧力を測定し、所望の圧力が実現されるまで、オリフィスサイズを調節することにより決めればよい。
一実施形態において、較正回路144は、ガス源、切換バルブ、オリフィス、調整デバイス及びセンシング回路を有する。調整デバイスは、ガス源と、切換バルブの入口の間に流体結合されている。オリフィスは、切換バルブの第1の出口に流体結合されており、処理チャンバと実質的に同じフロー抵抗を有している。センシング回路は、オリフィスを通過するガスのフローを受けるように構成されている。他の実施形態において、較正回路144は、ガスフローを受けるために較正容積を利用する。較正容積中のガスから測定された特性及び/又は属性から、フローレート及び/又はセンシング回路に入るガスの圧力を診断することができる。他の実施形態において、較正回路144は、ガスフローを受ける非較正容積を利用する。非較正容積中のガスの経時で測定した特性及び/又は属性における変化から、フローレート及び/又はセンシング回路に入るガスの圧力を診断することができる。更に他の実施形態において、較正回路144は、較正容積に配置された振動部材を含む。他の実施形態において、較正回路144は、較正容積に配置されたガスの電気的又は磁気的特性の少なくとも1つを検出するように構成されたセンサを含む。更に他の実施形態において、較正回路144は、カンチレバーによりサポートされたタンクを含む。
較正回路144から離れるフローは較正回路出口ライン142により、パージライン154に結合されている。遮断バルブ140は、パージライン154から較正回路144を選択的に遮断する。パージライン154は、設備排気孔136につながる、処理チャンバ114から出るフォアライン138に結合されている。
出口ポート106B〜Eは、処理チャンバ114の1つ以上の入口ポートに結合されていて、ガス供給源102A〜Fから様々なプロセスガスを供給する。図1に示す実施形態において、出口ポート106B〜Eは、夫々、処置チャンバ114の入口ポート110A〜Dに結合されている。複数の最終バルブ116を、夫々、マニホルド出口ポート106B〜Eと、チャンバ入口ポート110A〜Dの間に結合して、処理チャンバ114へのガスフローのオン/オフフロー制御として機能させてもよい。
第6のポート106Fは、遮断バルブ172を通してパージライン154に結合されている。遮断バルブ172を開くと、パージライン154は、高速排気パスを提供して、ガスマニホルド134から設備排気孔136へのガスの効率的な除去を促す。スロットルバルブ156を利用して、マニホルド134からパージライン154を流れるガスのフローを制御してもよい。高速排気パスによって、ガス間のクロストークを最小にして、即時のガスの交換が可能となる。
高速排気パスはまた、マニホルド出口ポート106A〜E及び較正回路144に選択的に結合してもよい。図1に示す実施形態において、バイパスバルブ108A〜Eは、マニホルド出口ポート106A〜Eとパージライン154の間に配置されている。バイパスバルブ108A〜Eを選択的に動作して、出口ポート106A〜Eをフォアライン138に結合してもよい。例えば、バイパスバルブ108を選択的に動作して、マニホルド出口ポート106Aから出たガスを、較正回路144をバイパスして、パージライン154へ指向させてもよい。他の実施例においては、バイパスバルブ108B〜Eを選択的に動作して、マニホルド出口ポート106B〜Eから出たガスを、処理チャンバ114をバイパスして、パージライン154へ指向させてもよい。遮断バルブ172は、マニホルド134の第6のポート106Fからパージライン154へのフローを制御する。
センサ190をまた、ガス分配システム100の様々な場所に設けて、システム100内のガスフロー及び/又は化学物質の測定表示を行ってもよい。センサ190により行われた測定をコントローラ150により利用して、MFC170又はガス分配システム100のその他コンポーネントの出力を調節して、ガスの所望の組成、圧力、速度又は容積がチャンバ114に与えられるようにしてもよい。センサ190は、圧力センサ、化学センサ、フローレートセンサ等であってよい。
図2は、図1に示すマニホルド134の一実施形態の概略図である。各マニホルド入口ポート104A〜Fは、夫々、入口ガス分配ライン220A〜Fに結合されていて、ガス源102A〜Fからマニホルド134へのガスの移動を促す。各マニホルド出口ポート106A〜Fは、夫々、出口ガス分配ライン232A〜Fに結合されている。各ガス分配ライン232A〜Fは、入口ガス分配ライン220A〜Fの1つ以上に選択的に結合されていてもよい。マニホルド134は、多数の入口ガス出口ガス分配ラインとインタフェースをとるように構成してもよいが、6つのガス分配ライン102A〜F及び6つの出口ガス分配ライン232A〜Fが、図2に示す実施形態では示されている。通常、ガス入口分配ラインの数は、ガス源の数に相応している。
入口ガス分配ライン220Aは、複数の接続ライン250A〜Fを含んでおり、入口ガス分配ライン220Aを、各出口ガス分配ライン232A〜Fに結合している。接続バルブ204A〜Fは、接続ライン250A〜Fと連通して配置されており、動作させると、バルブ204A〜Fの選択した動作状態に応じて、入口ガス分配ライン220Aを通して、入口ガス分配ライン220Aを、出口ガス分配ライン232A〜Fの1つ以上に流体結合することができる。接続バルブ204A〜Fは、ガス源102Aを、選択した出口ポート106A〜Fに選択的に結合し、これによって、マニホルド134を通して、源102Aから提供されたガスの送路を制御する。例えば、接続バルブ204B〜Fを閉じたままで、接続バルブ204Aが開動作状態にある場合には、源102Aからのガスは、出口ポート106Aを通して、較正回路122へ送られる。他の例では、接続バルブ204A、D〜Fを閉じたままで、接続バルブ204B〜Cが開動作状態にある場合には、源102Aからのガスは、出口ポート106B〜Cを通して送られる。他の入口ガス分配ライン220B〜Fは、夫々、接続ライン250A〜Fと同様に構成されており、バルブ204A〜Fは、入口ガス分配ライン220B〜Fを、各出口ガス分配ライン232A〜Fに結合している。入口ガス分配ライン220B〜Fに関連した参照番号250A〜F及び204A〜Fは、図2が混みあわないようにするため、省いてある。
図3に、ガスマニホルド334の変形実施形態を示す。ガスマニホルド334は、図2に示すガスマニホルド134と実質的に同様である。ただし、ガスマニホルド334は、複数の可変の接続バルブ304A〜Fを含んでおり、これらは、ガス入口分配ライン220Aを、各ガス出口分配ライン232A〜Fに結合している。可変の接続バルブ304A〜Fを調節すると、ガス入口分配ライン220Aを通過するフローの一部を、各ガス出口分配ラインに入れることができる。可変の接続バルブ304A〜Fは、比例バルブ、ピンチバルブ、スロットルバルブ、マスフローコントローラ、ニードルバルブ又は入口ラインと出口ラインの間でフローを制御するのに好適なその他のフロー制御デバイスでよい。
可変の接続バルブ304A〜Fの動作状態を制御して、バルブをバイパスするフローに対するバルブを流れるフローの比率を所望のものとし、バルブ304A〜Fが、ライン220Aにおいて、フロー比コントローラとして動作するようにしてよい。可変の接続バルブ304A〜Fの動作状態は、センサ190(図3には図示せず)により行われた測定に応じて、コントローラ150により調節してもよい。このようにすると、例えば、単一のガス入力分配ライン220Aから、2(以上)のガス出口分配ライン232A〜Fに提供されるガスの比率を、センサ190により行われた測定に応じて調節し、目的とする化学組成、フローレート及び/又は圧力を、マニホルド334の出口106A〜Fで実現することができる。他の入口ガス分配ライン220B〜Fは、夫々、接続ライン250A〜Fと同様に構成されており、バルブ304A〜Fは、入口ガス分配ライン220B〜Fを、各出口ガス分配ライン232A〜Fに結合している。入口ガス分配ライン220B〜Fに関連した参照番号250A〜F及び304A〜Fは、図3が混みあわないようにするため、省いてある。
図2に戻ると、マニホルド134は、更に、ブリッジ回路202を含んでいてもよい。ブリッジ回路202は、各セレクタバルブ262A〜Fにより、各出口ライン232A〜Fに選択的に結合するブリッジライン260を含んでいる。ブリッジ回路202を用いて、フローコンポーネントのいずれか、例えば、接続バルブ204の1つを、較正回路144に結合してよい。ブリッジ回路202によってまた、流体源、例えば、源102Aに関連したMFC170を、接続バルブ204Aの抵抗を受け入れる第2の送路を介して、較正回路144に結合することができる。更に、ブリッジ回路202によって、各出力ライン232A〜Eを、反対の端部から、パージライン154に結合する(出力ライン232Fを通して)ことができ、これによって、マニホルド134をパージするのに必要な時間を減じることができる。
複数の切断部を提供して、複数のガスマニホルド134を結合してもよい。図2に示す実施形態において、第1のグループの切断部216Aは、各出口ポート106A〜Fに近接配置されており、第2のグループの切断部216Bは、ブリッジライン260とバルブ262A〜Fの間のブリッジ回路202に配置されている。例えば、図4に示す通り、第2のグループの切断部216Bによって、第1のマニホルド434Aのブリッジライン260を外して、第1のマニホルド434Aのガス出口分配ライン232Aの第1の端部402を、各マニホルド434Aの切断部216A、216Bの嵌合部分を用いて、第2のマニホルド434Bの出口ライン232Aの第2の端部404に結合することができる。他の出口ライン232B〜F(図3には図示せず)も同様に結合される。このようにして、数多くのマニホルドを結合することができるものと考えられる。
図2に戻ると、上述した通り、1つ以上のセンサ190を、ライン220A〜F、232A〜F、260、154のいずれかとインタフェースさせて、システム100内のガスフロー及び/又は化学物質の測定表示を行ってもよい。センサ190により行われた測定を、コントローラ150により利用して、MFC170、バルブ262A〜F、204A〜F、304A〜F又はガス分配システム100の他のコンポーネントを調節して、ガスの所望の組成、圧力、速度又は容積を、チャンバ114に提供するようにしてもよい。測定を利用して、ガス分配システム100の様々な部分において、ガスの組成をモニターし、パージの状態、化学物質混合、ガスの変化等をリアルタイムで検出することによって、システム応答時間を向上させ、高価なプロセスガスの消費を最小にすることができる。
図5に、ガスを処理チャンバ114に供給するのに利用するガス分配システム500の他の実施形態を示す。ガス分配システム500は、上述したガス源102A〜F、パージライン154及び較正回路144に結合したマニホルド134を含む。マニホルド134の出口ポート106B〜Eは、処理チャンバ114の入口ポート516、518に選択的に結合されていて、処理チャンバ114へのガスの移動を促す。図5に示す実施形態において、2つの別々のガス入口ポート516、518を利用して、マニホルド134から処理チャンバ114へ供給されるガスを分配する。一実施形態において、入口ポート516は、処理チャンバ114の中心にガスを提供し、入口ポート518は、入口ポート518の外側に配置されて、処理チャンバの外側領域に(例えば、チャンバ内に配置された基板の周囲に)ガスを提供する。例えば、入口ポート516は、ガスを、シャワーヘッドの中心領域へ提供し、入口ポート518は、ガスを、シャワーヘッドの外側領域へ提供する。他の例においては、入口ポート516は、処理チャンバの天井に配置されて、ガスを下方に基板へ提供し、入口ポート518は、処理チャンバの側壁に配置されて、ガスを基板の外側領域へ提供する。更に他の実施形態において、ガスを、入口ポート516、518を通して、別々に提供して、ガスの混合が、処理チャンバ114の室内容積内で一度だけ生じるようにする。
フロー比コントローラ(FRC)502、504が、各出口ポート106D〜Eに結合されている。FRC502、504は、各出口ポート106D〜Eを出るフローを分割して、処理チャンバ114の各入口ポート516、518間に、所定のフロー比を提供するようにする。FRC502、504は、固定出力比を有していても、調節可能な出力比を有していてもよい。FRC502、504の出力及びマニホルド出力ポート106B〜Cを、夫々、共通の分配ライン522、524に合体する。各分配ライン522、524を通るフローは、処理チャンバ114の入口ポート516、518の直上流に位置する最終バルブ508、506により制御される。
バイパスバルブ510、512は、各分配ライン522、524に結合されている。バイパスバルブ510、512を開くと、各分配ライン522、524をパージライン154に結合することができる。
記載したガス分配システムは、従来のシステムに勝る多くの利点を与える。システムのモジュール性に加えて、ガス分配システムを動作させると、プレフローパス、高速排気パス、制御された化学物質混合、化学物質のより効率的な使用、連続的に減少する充填排気時間、化学分配の精密調整のための閉鎖ループ制御及びフロー診断を提供することができる。
(プレフローパス)
動作のあるモードにおいて、プレフローパスは、ガス分配システム100を通して画定され、1つ以上のバルブを通して、処理チャンバ114から分離された真空環境に、化学源(例えば、源102A〜F)の接続を行う(例えば、パージライン154を通して、フォアライン138)。ガスが処理チャンバ114で要求される前に、ガスを、ガス分配システム100のマニホルド134の適切なラインに供給してよい。これは、処理チャンバ114に流したようなガスの状態に実質的に適合する状態で行う。これによって、マニホルド134内のガスが、即時に定常状態に達し、ガスは、処理チャンバ114へ迂回する状態に実質的に維持される。プレフローパスによって、ガス分配システム100内のガスが、処理チャンバ114に迂回される前に、「プロセス状態」で安定化されるため、チャンバへのフローが始まると、分配システム100内のフロー状態は殆ど、又は全く変化しない。これは、典型的に、圧力降下や、フローレートの減少を招く従来のガス分配システムとは異なる。このように、処理チャンバにおけるガス分配の均一性が即時に確立される。プレフローパスが、ガスを処理チャンバに流したのと実質的に同じ抵抗とフロー状態を提供するためである。スロットルバルブ144を用いて、プレフローガスパスの状態を、処理チャンバ114のものと適合させてもよい。
更に、フロー分割デバイス、例えば、フロー比コントローラ502、504またはバルブ304A〜Fが、処理前に、プレフローパスへ送られる出力を有していてもよく、分割デバイスの出力は、フローが処理チャンバへ分配される前に、安定化させることができる。一実施形態において、プレフローパスは、パージライン154とバイパスラインを通して画定され、マニホルド出口106A〜Bを通って、バイパスバルブ108A〜Fを通り、パージライン154へと続いている。
(高速排気パス)
他の動作モードにおいて、高速排気パスが、ガス分配システム100において画定されており、パージライン154を通して、マニホルド134からフォアライン138までの接続を提供する。高速排気パスは、1つ以上のバルブを通して、処理チャンバ分配パスを通して、化学分配源から、真空環境までの接続を提供する。真空環境は、処理チャンバ114からは分離されている(例えば、パージライン154を通して、フォアライン138)。高速排気パスは、各チャンバ接続部に結合されていて、2つのフロー制限部、例えば、フロー比コントローラ502、504、バルブ304A〜Fまたはその他フロー制限部間に、少なくとも1つの接続部がある。処理チャンバ114内の化学物質を変更する必要がある時は、真空環境に対して、遮断バルブ172及びバイパスバルブ108A〜Eを開いて、過剰のプロセス化学物質を、化学分配パスから除去する。
上述した通り、マニホルド134内の様々なバルブの動作状態をシーケンス化して、高速排気パスを通して、ガス分配システム100から除去される化学物質のパージ時間を最小にする。加えて、バルブ204A〜Fの動作状態をシーケンス化して、特定の領域に関して、前のプロセスで用いた化学物質をマニホルド134から除去し、空にした領域に新たな化学物質を充填して、マニホルド134内のガスを、最も効率的なやり方で交換する。更に、マニホルド134の特定の部分を、マニホルドの他の部分よりも即時に排気すると、バルブ204A〜Fをシーケンス化して、古い化学物質と交換した新しい化学物質を、できる限り短時間で、平衡(例えば、処理フロー状態)させることができる。
一実施形態において、源102A〜Fから交換されたガスの高圧、容積及び/又はフローを、マニホルド134の1つ以上の領域に与えて、切換を促進してもよい。マニホルド134を出る交換ガスのフローが、所望の化学混合物に達すると、圧力及び/又は容積、化学分配システム100への化学物質のフローを所望のレベルまで減じて、マニホルド134から出る所望のフローを維持するようにする。化学分配システム100が、前のプロセスからの化学物質で充填される場合には、化学分配システムへのフローを変更(即ち、所望の効果に応じて、増減)して、処理チャンバへの所望のフローが、できる限り迅速に、所望の値に達するようにする。マニホルドから出た化学フローが、所望の化学混合物及びフローレートに達したら、マニホルド134への化学物質のフローを、所望のフローレートへと調節し、処理チャンバ114への所望のフローを維持するようにする。
他の実施形態において、バルブ204A〜Fをシーケンス化することにより、化学物質の変更を促進して、まだ交換されていないガスを含有するガス分配ライン232A〜Fのみが、パージライン154への結合を維持するようにする。交換ガスが、ガス分配ライン232A〜Fの1つ又は他の所定の場所から、マニホルド134を出たことが検出されると、交換ガスを供給するガス分配ライン232A〜Fが、パージライン154から分離されて、交換ガスが無駄にならないようにする。一実施形態において、交換ガスは、交換が完了するまで、パージライン154から処理チャンバ114へ迂回されてもよい。このようにして、パージライン154のポンピング能力は、ガス除去を必要とするこれらのラインに限られたものであり、処理ガスの切換が効率的なやり方でなされる。高速排気パスは、切換時間の少なくとも一部について、ブリッジ回路202を通したパージライン154への送路ガスフローを含んでいてもよいものとも考えられる。
(化学物質混合及び閉鎖ループ制御)
他の動作のモードにおいて、ガス分配システム100を用いて、化学物質混合を促進してもよい。一実施形態において、化学物質混合は、バルブ304A〜Fを用いて、又は、2つ以上の源102A〜Fを、単一ガス出口分配ライン232A〜Fに結合することにより、マニホルド134内で生じる。
他の実施形態において、センサ190を利用して、ガス分配システム内で、化学物質混合の密閉ループコントロールを行ってもよい。センサ190を用いて、チャンバ114に入り、マニホルド134及び/又はガス分配システム100内の他の点から出る化学物質をモニタリングすることにより、化学物質のパラメータ、例えば、所望の組成(例えば、ガス混合物)、速度及び/又は圧力のリアルタイムでの調節が実現できる。例えば、センサが、ポート106Cでマニホルドから出る源102A〜Bからの化学物質の不適切なフロー比を検出すると、入口分配ライン220A〜220Bを、出口分配ライン232Cに結合するバルブ304Cの動作状態を調節して、化学フローを、所望のターゲット比にすることができる。他のバルブ又はフロー比コントローラを用いて、同じプロセスを実施してもよい。センサ190からの情報を利用して、MFC設定、フローレート及び/又は源102A〜Fから提供されたガスの圧力を調節することもできる。
(ガス/化学物質の保持)
他の動作のモードにおいて、ガス分配システム100は、化学物質の効率的な使用を促進する。例えば、バルブ204A〜F、172、108A〜E、262A〜Fの動作状態を変更するシーケンスを構成して、マニホルド134から、最も効率良く、ガスを除去し、ガスの混合が最少となるようにすると、応答時間が早くなり、処理時間を減らすことができる。このように、ガス交換中、ガスが分配パスから(例えば、出口ライン232A〜Fから)引かれ、パージライン154に入る速度を、スロットルバルブ156を用いて調節し、マニホルド134を通して、ガスを即時に進めてもよい。更に、パージライン154が、マニホルド134を通してガスを引いている間、次の処理シーケンスのために準備されたガス、例えば、新たに導入されるガス、パージガス及び/又はマニホルドに前に含有されていたガスの最後の残り等を含有するラインを、パージライン154から迂回させて、処理チャンバ114へ流してもよい。これによって、パージライン154に結合された残りのラインが、より迅速に排気される。一実施形態において、センサ190により行われた測定を利用して、パージライン154からチャンバ114への迂回を行うべき時を示してもよい。これは、例えば、ガスの組成、フローレート及び、又はライン内のガスの圧力の変更又は安定化によってなされる。
(フロー診断)
他の動作のモードにおいて、ガス分配システム100を利用して、較正回路144を用いて、システム内の成分のフローレートを診断することができる。例えば、システム100のバルブは、入口ポートのいずれかから、較正回路144までのフローを提供する。他の実施例において、システムのバルブにより分割されたフローの、分割されたフローの各分岐に沿ったフローレートを診断してもよい。
図6は、ガス分配システム600の他の実施形態に結合された半導体処理チャンバ114の概略図である。ガス分配システム600は、上述したガス分配システムと実質的に同様に構成されている。ただし、システム600には、システムのマニホルド134の出力ポート106A〜Fの少なくとも1つに結合された収容タンク630が含まれている。収容タンク630は、処理チャンバ114、第2の処理チャンバ614(想像線で図示)、第2の処理チャンバ614のガス分配システム100(500又は600)、較正回路144又は設備排気孔136のうち少なくとも1つ以上に結合されていてよい。センサ190により、タンク630内のガスの測定表示を行ってもよい。一実施形態において、測定により、ガス圧力、ガス組成(例えば、化学物質)、温度又はその他特性が示される。
一実施形態において、各出力ポート106A〜Fは、個々に、タンク630に結合されていてよい。他の実施形態において、タンク630をセグメント化して(想像線で図示)、各106A〜Fは、混合せずに、タンク630に保持されていて、処理チャンバ114に個々に結合されるようにしてもよい。この代わりに、別々のタンク630を用いてもよい。タンク630の入口を利用して、処理チャンバ114にガスを分配してもよいものとも考えられる。
このように、ガス分配システムは高速排気パスを有していて、処理ガスを、ガス分配システムから、安定したガスフロー及び最小の変動で、処理システムへ供給することができ有利である。高速排気パスを利用して、ガス分配システムからのガスフローを診断し、且つ/又は較正する別の方法を提供することによって、処理システムに供給されるガスフローを良好に制御することができる。
上記は本発明の実施態様に係るものであるが、本発明の他の及び更なる実施態様は、その基本的な範囲から逸脱することなく創作することができ、その範囲は特許請求の範囲に基づいて定められる。
本発明の上述の構成が詳細に理解できるように、上に簡単にまとめた本発明を添付図面に示した実施形態を参照してより具体的に説明する。しかしながら、添付図面は本発明の典型的な実施形態を示すに過ぎないため、その範囲を限定するものとは考えられず、本発明は、その他の等しく有効な実施形態も含み得ることに留意すべきである。
本発明のガス分配システムの一実施形態に結合された半導体処理チャンバの概略図である。 図1のガス分配システムの混合マニホルドの一実施形態の概略図である。 混合マニホルドの他の実施形態の概略図である。 結合された2つの混合マニホルドの一実施形態の概略図である。 ガス分配システムの他の実施形態に結合された半導体処理チャンバの概略図である。 ガス分配システムの他の実施形態に結合された半導体処理チャンバの概略図である。
理解し易くするために、可能な限り、図に共通の同一部材の表記には同一の参照番号を使用した。一実施形態の構成は、他の実施形態においても、説明することなく、有利に組み込むことができると考えられる。

Claims (21)

  1. フォアラインを通して、設備排気部に結合された処理チャンバを含む処理システムへのガスフローを制御する方法であって、
    第1の出口ポート、第2の出口ポート、第3の出口ポート及び第4の出口ポートを少なくとも有するマニホルドへ第1のガス源から第1のガスを流す工程であって、前記第1の出口ポートは、遮断バルブ及びパージラインを含む排気パスを介して前記フォアラインに結合され、前記第2及び第3の出口ポートの夫々は、夫々の最終バルブを介して前記処理チャンバに接続され、前記第2及び第3の出口ポートの夫々は、夫々のバイパスバルブを介してプレフローパスに接続され、前記プレフローパスは、前記パージラインの上流のスロットルバルブと、前記フォアラインの上流の前記パージラインを含む工程と、
    前記マニホルドへ、第2のガス源から第2のガスを流す工程と、
    前記マニホルド内のバルブの動作状態を選択して、処理モードにありながら、前記第1及び第2のガスを前記第2又は第3の出口ポートのうち少なくとも1つを通して出す工程と、
    前記マニホルド内の前記ガスの所定の状態が得られるまで、前記第1及び第2のガスを、前記マニホルドの前記第2又は第3の出口ポートのうち少なくとも1つを通して、前記プレフローパスを通して前記処理チャンバをバイパスする前記フォアラインへ流す工程であって、前記所定の状態は、前記スロットルバルブを使用して、前記プレフローパス内の状態を前記処理チャンバの状態と適合させることによって得られる工程と、
    前記所定の状態が得られた後、前記マニホルドを出て、前記第2又は第3の出口ポートのうち少なくとも1つを通して、前記処理チャンバへ前記第1及び第2のガスを指向させる工程と、
    前記処理チャンバ内で基板を処理する工程とを含む方法。
  2. 基板処理が完了した後、前記第1及び第2のガスを、前記マニホルドを通して、前記処理チャンバをバイパスする前記フォアラインへ流す工程を更に含む請求項1記載の方法。
  3. 前記マニホルド中の前記第1及び第2のガスのうち少なくとも1つを、第3のガス源から前記マニホルドへ提供された第3のガスと交換する工程を更に含む請求項2記載の方法。
  4. 前記マニホルドから出る前記第3のガスが、前記フォアラインに入るのを防ぐ工程と、
    前記第3のガスが、前記マニホルドを出ていく間、前記第1又は第2のガスのうち少なくとも1つを前記フォアラインへ引き続ける工程とを更に含む請求項3記載の方法。
  5. 前記第3のガスが、前記フォアラインに入るのを防ぐ工程が、前記第3のガスのフローを停止する工程、又は前記第3のガスを前記処理チャンバへ流す工程を含む請求項記載の方法。
  6. 前記第3のガスが、前記フォアラインに入るのを防工程が、前記第3のガスが前記フォアラインから前記マニホルドを出る、前記出口ポートを分断する工程を含む請求項記載の方法。
  7. 前記マニホルドにおいて、少なくとも1つのバルブの前記動作状態を調節して、少なくとも2つの出口ポートを通る1つのガス源からのフローの比を得る工程を更に含む請求項1記載の方法。
  8. 前記マニホルドにおいて、少なくとも1つのバルブの前記動作状態を調節する工程が、
    前記マニホルドを出る前記ガスの測定をセンシングする工程と、
    前記マニホルドにおいて、少なくとも1つのバルブの前記動作状態を調節して、前記少なくとも2つの出口ポートを通る1つのガス源からのフローの比を変更する工程とを更に含む請求項7記載の方法。
  9. 前記マニホルドを出る前記ガスの測定をセンシングする工程と、
    前記センシングされた測定に応答して、前記マニホルドに入る前記1つのガス源のフロー、少なくとも1つのフロー比コントローラ、前記チャンバに入る前記ガスの組成、又は前記チャンバに入る前記ガスの圧力のうちの1つを調節する工程を更に含む請求項1記載の方法。
  10. 前記マニホルド内の前記バルブの動作状態を選択して、前記第1及び第2のガスのうち少なくとも1つを、前記第4の出口ポートを通して出し、較正回路へと送る工程を更に含む請求項1記載の方法。
  11. オリフィスを使用して前記較正回路内で固定フロー状態を提供する工程であって、前記オリフィスは、前記処理チャンバの制限と等しい制限を与えるサイズである工程を更に含む請求項10記載の方法。
  12. 前記遮断バルブを開くことによって前記マニホルドを排気して、前記第1の出口ポート及び前記排気パスを通して前記マニホルドから前記第1及び第2のガスを除去する工程を更に含む請求項1記載の方法。
  13. 前記マニホルドを排気する工程は、前記スロットルバルブを用いて、前記マニホルドから前記パージラインまでの前記ガスのフローを制御する工程を更に含む請求項12記載の方法。
  14. 前記マニホルドを排気する工程は、前記第2及び第3の出口ポートに結合される前記バイパスバルブを開いて、前記第2及び第3の出口ポートを出たガスを、前記処理チャンバをバイパスする前記パージラインへ指向させる工程を更に含む請求項13記載の方法。
  15. フォアラインを通して、設備排気部に結合された処理チャンバを含む処理システムへのガスフローを制御する方法であって、
    第1、第2、第3及び第4の出口ポートのうち少なくとも1つに選択的に結合されていてもよい少なくとも第1、第2、第3及び第4の入口を有するマニホルドを提供する工程であって、前記第1の出口ポートは、遮断バルブ及びパージラインを含む排気パスを介して前記フォアラインに結合され、前記第2及び第3の出口ポートの夫々は、夫々の最終バルブを介して前記処理チャンバに接続され、前記第2及び第3の出口ポートの夫々は、夫々のバイパスバルブを介してプレフローパスに接続され、前記プレフローパスは、前記パージラインの上流のスロットルバルブと、前記フォアラインの上流の前記パージラインを含む工程と、
    処理前に前記処理チャンバをバイパスする前記プレフローパスへ、又は較正回路へ、前記マニホルドを通して、1種類以上のガスを流す工程であって、前記プレフローパス内の状態を前記処理チャンバの状態と適合させるために、前記プレフローパス内の前記スロットルバルブを調整する工程と、
    基板処理中、前記1種類以上のガスを前記処理チャンバへ流す工程とを含む方法。
  16. 真空環境に、前記マニホルドを通して、1種類以上のガスを流す工程が、前記1種類以上のガスのフローを前記処理チャンバへ迂回する前に、前記1種類以上のガスのフローが、所定の基準に適合するまで待つ工程を更に含む請求項15記載の方法。
  17. 前記所定の基準は、フローレート、圧力、又はガス組成のうちの少なくとも1つである請求項16記載の方法。
  18. 処理チャンバを含む処理システムへのガスフローを制御する方法であって、
    第1の出口ポート、第2の出口ポート、第3の出口ポート及び第4の出口ポートを少なくとも有するマニホルドへ第1のガス源から第1のガスを流す工程であって、少なくとも前記第2及び第3の出口ポートは、処理チャンバに結合され、前記処理チャンバは、フォアラインを介して設備排気に結合され、前記第1の出口ポートは、遮断バルブ及びパージラインを含む排気パスを介して前記フォアラインに結合され、前記第2及び第3の出口ポートの夫々は、夫々のバイパスバルブを介してプレフローパスに接続され、前記プレフローパスは、前記パージラインの上流のスロットルバルブと、前記フォアラインの上流の前記パージラインを含む工程と、
    前記マニホルド内のバルブの動作状態を選択して、前記第1のガスを前記第2及び第3の出口ポートを通して同時に流す工程と、
    前記マニホルド内の前記第1のガスの所定の状態が得られるまで、前記第1のガスを、前記マニホルドの前記第2又は第3の出口ポートのうち少なくとも1つを通して、前記プレフローパスを通して前記処理チャンバをバイパスする前記フォアラインへ流す工程であって、前記所定の状態は、前記スロットルバルブを使用して、前記プレフローパス内の状態を前記処理チャンバの状態と適合させることによって得られる工程とを含む方法。
  19. 前記第1のガスのフローを示す測定をセンシングする工程と、
    前記センシングされた測定に応答して、前記第1及び第2の出口ポートの間の前記第1のガスのフローの比を制御する工程とを更に含む請求項18記載の方法。
  20. センシングする工程は、前記第1のガスの、化学物質、圧力、又は夫々のフロー分割レートのうちの少なくとも1つをセンシングする工程を更に含む請求項19記載の方法。
  21. センシングされた測定を使用して、前記夫々のフロー分割レートが所定の基準に適合していることを診断する工程を更に含む請求項20記載の方法。
JP2008043004A 2007-02-26 2008-02-25 処理チャンバへのガスフローを制御する方法及び装置 Expired - Fee Related JP5330709B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/678,623 US7846497B2 (en) 2007-02-26 2007-02-26 Method and apparatus for controlling gas flow to a processing chamber
US11/678,623 2007-02-26

Publications (3)

Publication Number Publication Date
JP2008211219A JP2008211219A (ja) 2008-09-11
JP2008211219A5 JP2008211219A5 (ja) 2013-02-14
JP5330709B2 true JP5330709B2 (ja) 2013-10-30

Family

ID=39589491

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008043004A Expired - Fee Related JP5330709B2 (ja) 2007-02-26 2008-02-25 処理チャンバへのガスフローを制御する方法及び装置

Country Status (7)

Country Link
US (1) US7846497B2 (ja)
EP (1) EP1961838A1 (ja)
JP (1) JP5330709B2 (ja)
KR (1) KR100975441B1 (ja)
CN (1) CN101256935B (ja)
SG (1) SG145668A1 (ja)
TW (1) TW200846860A (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
US8747762B2 (en) 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
CN101989068B (zh) * 2010-11-05 2012-07-18 北京七星华创电子股份有限公司 基于质量流量控制器的模拟工艺系统和方法
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9175808B2 (en) * 2011-06-17 2015-11-03 Lam Research Corporation System and method for decreasing scrubber exhaust from gas delivery panels
JP6068462B2 (ja) * 2011-06-30 2017-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高速ガス交換、高速ガス切換、及びプログラミング可能なガス送出のための方法及び装置
CN103177923B (zh) * 2011-12-20 2016-05-11 中微半导体设备(上海)有限公司 一种应用于等离子处理装置的气体分布系统及验证方法
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9410244B2 (en) * 2012-09-04 2016-08-09 Asm Ip Holding B.V. Semiconductor processing apparatus including a plurality of reactors, and method for providing the same with process gas
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
US8893923B2 (en) * 2012-11-28 2014-11-25 Intermolecular, Inc. Methods and systems for dispensing different liquids for high productivity combinatorial processing
US9488315B2 (en) * 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
CN106025158B (zh) * 2015-03-27 2020-08-11 株式会社杰士汤浅国际 蓄电元件
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10269600B2 (en) * 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN108231620B (zh) * 2016-12-15 2021-01-19 中微半导体设备(上海)股份有限公司 一种气体流量控制装置及其气体流量控制方法
GB2557670B (en) * 2016-12-15 2020-04-15 Thermo Fisher Scient Bremen Gmbh Improved gas flow control
CN114797403A (zh) 2017-02-09 2022-07-29 应用材料公司 利用水蒸气和氧试剂的等离子体减量技术
CN109104875B (zh) * 2017-04-20 2021-07-02 株式会社V泰克斯 真空容器内压力多控制装置与真空容器内压力多控制方法
SG11202002686YA (en) 2017-09-26 2020-04-29 Lam Res Corp Systems and methods for pulse width modulated dose control
KR20200106090A (ko) * 2018-01-31 2020-09-10 램 리써치 코포레이션 복수의 전구체들을 위한 매니폴드 밸브
AT521586B1 (de) * 2018-08-28 2020-12-15 Avl List Gmbh Gasmischvorrichtung zur Linearisierung oder Kalibrierung von Gasanalysatoren
CN109884255A (zh) * 2019-03-26 2019-06-14 翼捷安全设备(昆山)有限公司 高精度全自动配气系统及方法
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
TW202128273A (zh) * 2019-10-08 2021-08-01 荷蘭商Asm Ip私人控股有限公司 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
JP7296854B2 (ja) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 ガス供給方法及び基板処理装置
JP2024512898A (ja) * 2021-03-03 2024-03-21 アイコール・システムズ・インク マニホールドアセンブリを備える流体流れ制御システム
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5292788A (en) * 1976-01-30 1977-08-04 Standard Technology Gas diluting apparatus
US4887020A (en) * 1984-07-23 1989-12-12 U.S. Philips Corporation Self-compensating brushless alternator
US4590790A (en) * 1985-05-16 1986-05-27 American Meter Company Method for determining the accuracy of a gas measurement instrument
US4687020A (en) 1985-05-17 1987-08-18 Doyle James H Fluid mass flow controller
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH03156509A (ja) * 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5062446A (en) * 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5190058A (en) * 1991-05-22 1993-03-02 Medtronic, Inc. Method of using a temporary stent catheter
US5141021A (en) * 1991-09-06 1992-08-25 Stec Inc. Mass flow meter and mass flow controller
US5254210A (en) * 1992-04-27 1993-10-19 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for growing semiconductor heterostructures
US5303731A (en) * 1992-06-30 1994-04-19 Unit Instruments, Inc. Liquid flow controller
US5190068A (en) 1992-07-02 1993-03-02 Brian Philbin Control apparatus and method for controlling fluid flows and pressures
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5293778A (en) * 1993-05-27 1994-03-15 General Electric Company Fluid flow measuring system
AU1678595A (en) * 1994-01-14 1995-08-01 Unit Instruments, Inc. Flow meter
US5524084A (en) 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5653807A (en) * 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US5662143A (en) * 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5944048A (en) * 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
US5911238A (en) * 1996-10-04 1999-06-15 Emerson Electric Co. Thermal mass flowmeter and mass flow controller, flowmetering system and method
US6937366B2 (en) * 1996-12-26 2005-08-30 Canon Kabushiki Kaisha Data communication system
JPH10240356A (ja) * 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
KR100251645B1 (ko) * 1997-03-21 2000-04-15 윤종용 반도체 공정용 가스 평가장치에 결합되는 샘플가스 분배 장치 및 구동방법
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US5966499A (en) * 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
KR100269315B1 (ko) * 1997-11-24 2000-11-01 윤종용 램프가열방식의매엽식장비를이용한반도체장치의제조방법
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6269692B1 (en) * 1999-02-01 2001-08-07 Dxl Usa Inc. Mass flow measuring assembly having low pressure drop and fast response time
WO2000063756A1 (fr) * 1999-04-16 2000-10-26 Fujikin Incorporated Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6733590B1 (en) * 1999-05-03 2004-05-11 Seagate Technology Llc. Method and apparatus for multilayer deposition utilizing a common beam source
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6343617B1 (en) * 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) * 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6138708A (en) * 1999-07-28 2000-10-31 Controls Corporation Of America Mass flow controller having automatic pressure compensator
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6772781B2 (en) * 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
AU2001286619A1 (en) 2000-08-22 2002-03-04 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE10051973A1 (de) * 2000-10-20 2002-05-02 Bosch Gmbh Robert Mikromechanisches Bauelement
US6814096B2 (en) * 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6439253B1 (en) 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
WO2002086632A2 (en) 2001-04-24 2002-10-31 Unit Instruments, Inc. System and method for configuring and asapting a mass flow controller
US6752166B2 (en) * 2001-05-24 2004-06-22 Celerity Group, Inc. Method and apparatus for providing a determined ratio of process fluids
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7552015B2 (en) * 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6810308B2 (en) * 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
KR20050031109A (ko) * 2002-07-19 2005-04-01 셀레리티 그룹 아이엔씨 질량 유량 제어기 내의 압력 보상을 위한 방법 및 장치
AU2003268315A1 (en) * 2002-08-28 2004-03-19 Horiba Stec, Inc. Higher accuracy pressure based flow controller
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US20050120805A1 (en) * 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7408772B2 (en) * 2004-05-14 2008-08-05 Hewlett-Packard Development Company, L.P. Fan tray electronics enclosure
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber

Also Published As

Publication number Publication date
CN101256935A (zh) 2008-09-03
KR100975441B1 (ko) 2010-08-11
EP1961838A1 (en) 2008-08-27
JP2008211219A (ja) 2008-09-11
CN101256935B (zh) 2011-08-17
US7846497B2 (en) 2010-12-07
KR20080079210A (ko) 2008-08-29
TW200846860A (en) 2008-12-01
US20080202588A1 (en) 2008-08-28
SG145668A1 (en) 2008-09-29

Similar Documents

Publication Publication Date Title
JP5330709B2 (ja) 処理チャンバへのガスフローを制御する方法及び装置
JP5582684B2 (ja) 半導体処理システムにガスを分配する装置及び半導体処理チャンバにガスを分配する装置
JP2008211218A (ja) 処理チャンバへのガスフローを制御する方法及び装置
TWI463287B (zh) 流量比率控制裝置
TWI483306B (zh) 基板製程系統中用於校準流量控制器的裝置及方法
JP3926747B2 (ja) フローを分割するためのシステム及び方法
KR100541050B1 (ko) 가스공급장치 및 이를 이용한 반도체소자 제조설비
JP2017050531A (ja) ガス供給システム
KR20050033841A (ko) 반도체 제조 장치 및 반도체 제조 방법
CN110021541A (zh) 动态冷却剂混合歧管
JP2542695B2 (ja) プラズマエッチング装置
JP2024517166A (ja) 流体供給取付けパネルおよびシステム
JP2007239008A (ja) 材料供給装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121109

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20121109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121219

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130412

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130417

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130515

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130726

R150 Certificate of patent or registration of utility model

Ref document number: 5330709

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees