CN103038867A - 基板制程系统中用于校准流量控制器的装置及方法 - Google Patents

基板制程系统中用于校准流量控制器的装置及方法 Download PDF

Info

Publication number
CN103038867A
CN103038867A CN2011800076452A CN201180007645A CN103038867A CN 103038867 A CN103038867 A CN 103038867A CN 2011800076452 A CN2011800076452 A CN 2011800076452A CN 201180007645 A CN201180007645 A CN 201180007645A CN 103038867 A CN103038867 A CN 103038867A
Authority
CN
China
Prior art keywords
flow
gas
controller
validator
conduit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800076452A
Other languages
English (en)
Other versions
CN103038867B (zh
Inventor
詹姆斯·P·克鲁斯
约翰·W·雷恩
玛瑞斯科·格雷戈尔
达科·巴库斯
拜林·达兰
克里·林恩·柯布
明·徐
安德鲁·源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103038867A publication Critical patent/CN103038867A/zh
Application granted granted Critical
Publication of CN103038867B publication Critical patent/CN103038867B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8158With indicator, register, recorder, alarm or inspection means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53022Means to assemble or disassemble with means to test work or product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Flow Control (AREA)

Abstract

本发明涉及一种基板制程系统中用于校准多个气体流量的方法及装置。在一些实施例中,基板制程系统可包含群集工具,该群集工具包含:与中央真空传送腔室耦接的第一制程腔室及第二制程腔室;第一流量控制器,该第一流量控制器提供制程气体至该第一制程腔室;第二流量控制器,该第二流量控制器提供制程气体至该第二制程腔室;质量流量验证器,该质量流量验证器用以验证各别来自第一与第二流量控制器的流率;第一导管,该第一导管可选择性地使该第一流量控制器耦接至该质量流量验证器;以及第二导管,该第二导管可选择性地使该第二流量控制器耦接至该质量流量验证器。

Description

基板制程系统中用于校准流量控制器的装置及方法
技术领域
本发明的实施例大体上有关于基板制程装置。
背景技术
在诸如蚀刻制程的基板处理期间,制程腔室的内部体积可能暴露于一种或多种制程气体下。通常利用一或多个流量控制器提供这类制程气体至该内部体积中并且控制流率而以期望流率供应这些制程气体。在一些制程腔室结构中,例如当共享气体分配盘供应这些制程气体至多个制程腔室时,本发明发明人发现目前尚无方法可用以确认:这些流量控制器是否把这些来自共享气体分配盘的制程气体正确地分配至各个腔室。此外,本发明发明人观察到:在多腔室基板制程系统(例如群集工具)上通常没有任何工具型装置(on-tool apparatus)可用于监控各个腔室的流量控制器,以(例如)侦测或比较该系统不同腔室上的流量控制器之间的偏差。
因此,本发明发明人提供可于基板制程系统中用以校准多个流量控制器的装置及方法。
发明内容
本发明提出一种基板制程系统中用于校准多个气体流量的方法及装置。一些实施例中,基板制程系统可包含:群集工具,该群集工具包含:与一中央真空传送腔室耦接的第一制程腔室及第二制程腔室;第一流量控制器,该第一流量控制器提供制程气体至该第一制程腔室;第二流量控制器,该第二流量控制器提供制程气体至该第二制程腔室;质量流量验证器,该质量流量验证器用以验证各别来自第一与第二流量控制器的流率;第一导管,该第一导管用以选择性地使该第一流量控制器耦接至该质量流量验证器;以及第二导管,该第二导管用以选择性地使该第二流量控制器耦接至该质量流量验证器。
一些实施例中,基板制程系统可包含:第一流量控制器以提供一制程气体至第一制程腔室的第一区域;第二流量控制器以提供该制程气体至第二制程腔室的第二区域;质量流量验证器以验证各别来自该第一及第二流量控制器的流率;第一导管,该第一导管用以选择性地使该第一流量控制器耦接至该质量流量验证器;以及第二导管,该第二导管用以选择性地使该第二流量控制器耦接至该质量流量验证器。
在一些实施例中,提供一种于基板制程系统中用以校准多个流量控制器的方法,该基板制程系统包含:与中央真空传送腔室耦接的第一制程腔室及第二制程腔室。在部分实施例中,该方法可包含以下步骤:从与第一制程腔室耦接的第一流量控制器以第一流率提供第一气体;引导该第一气体经由第一导管而流至质量流量验证器(mass flow verifier);使用该质量流量验证器测量该第一流率;从与第二制程腔室耦接的第二流量控制器以第二流率提供第二气体;引导该第二气体经由第二导管而流至该质量流量验证器;以及使用该质量流量验证器测量该第二流率。
一些实施例中,提供一种于基板制程系统中用于校准多个流量控制器的方法。在部分实施例中,该方法可包含以下步骤:利用与第一制程腔室的第一区域耦接的第一流量控制器,提供第一气体至质量流量验证器;使用该质量流量验证器,测量该第一气体的第一流率;利用与该第一制程腔室的第二区域耦接的第二流量控制器,提供第二气体至该质量流量验证器;以及使用该质量流量验证器,测量该第二气体的第二流率,其中当该第二量控制器提供该第二气体至该质量流量验证器时,该第一流量控制器能提供该第一气体至该第一区域。
本发明的其它与进一步实施例系说明如下。
附图说明
本发明的实施例系已概括总结于发明内容中以及于实施方式中做进一步详细说明,并可参照附图中所示出的本发明示范实施例而理解这些实施例。然而应注意的是,这些附图仅示出本发明的典型实施例,因此不应视为本发明范围的限制,本发明可能容许其它等效实施例。
图1~图1A示出根据本发明部分实施例的多腔室基板制程系统的概要俯视图。
图2系根据本发明部分实施例示出于基板制程系统中用以校准多个流量控制器的方法流程图。
图3系根据本发明部分实施例示出于基板制程系统中用以校准多个流量控制器的方法流程图。
为便于了解,系尽可能地使用相同组件参考符号代表这些图式中共有的相同组件。为求图式清晰,这些图式未按比例绘制且经简化。应可理解无需进一步详细说明,即可将一实施例的组件及特征有利地并入其它实施例中。
具体实施方式
本发明揭示一种于基板制程系统中用以校准多个流量控制器的方法及装置。该发明方法及装置有利地帮助测量由一或多个流量控制器所提供的一或更多个流率,并且可与一参考标准(例如,质量流量验证器)以及与不同配置中的一或多个制程腔室耦接的其它流量控制器两者做直接比较。因此该发明系统及方法可有利地缩短校准各个流量控制器所需的时间,且增进这些流量控制器测量之间的一致性,从而有助于增进腔室匹配性,例如可增进二个不同腔室在相似制程条件下操作所得到的制程结果的一致性。
参阅图1,在一些实施例中,群集工具或多腔室制程系统100可能一般性地包含:工厂界面102、真空密封制程机台104、及系统控制器144。可根据本发明教示内容而做适当修改的制程系统范例包括:可购自美国加州圣塔克拉拉市应用材料公司的整合制程系统、
Figure GSB00000961424600032
系列制程系统的(例如
Figure GSB00000961424600033
GTTM)、ADVANTEDGETM制程系统、或其它合适的制程系统。可考虑:其它制程系统(包括来自其它制造商的系统)亦可经调适而受益于本发明。可根据本发明教示内容进行修正而与本发明结合的双腔室制程系统范例系描述于:由Ming Xu等人于2010年4月30日申请,且标题为“双腔室处理系统”的美国专利临时申请案61/330,156号中。
机台104可包含:多个制程腔室(示出6个)110、111、112、132、128、120、以及至少一个装载锁定腔室(示出两个)122,且该装载锁定腔室122与传送腔室136耦接。每个制程腔室包含:缝阀(slit valve)、或其它可选择性密封的开口,以使这些制程腔室各自的内部容积可选择性地与传送腔室136的内部容积流体连通地耦接。同样地,每个装载锁定腔室122包含:通口125,以使这些装载锁定腔室122各自的内部容积可选择性地与该传送腔室136的内部容积流体连通地耦接。该工厂界面102经由该装载锁定腔室122而耦接至该传送腔室136。
在一些实施例中,例如图1中所示出的实施例中,这些制程腔室110、111、112、132、128、120可采取两个制程腔室110与111、112与132、128与120为一组而分成数对腔室,且每对腔室中的制程腔室彼此相邻设置。在一些实施例中,每对制程腔室可为一个双腔室制程系统(101、103、105)的一部分,在该系统中,每一对制程腔室可各自设置于一共同外壳中,且如本文中所述般设置有某些共享来源。每个双腔室制程系统101、103、105可包含:一对独立的制程容积,该对制程容积可彼此隔开。例如,每个双腔室制程系统可包含:第一制程腔室及第二制程腔室,该第一与第二制程腔室分别具有第一与第二制程容积。该第一与第二制程容积可彼此隔开,以有助于在各别制程腔室中实质独立地处理基板。在双腔室制程系统中的这些制程腔室的隔离制程容积可有利地减少或消除:在多基板制程系统中因处理期间这些制程容积可流体连通地耦接而引起的制程问题。
此外,双腔室制程系统更有利于使用共享来源,共享来源有助于减少系统占地面积、硬件费用、设备使用集成本及维修等等,同时可提高基板产量。例如图1所示,这些制程腔室可建构成:使制程腔室110与111、112与132以及128与120各腔室之间、以及/或在各个双腔室制程系统101、103、105中的每对制程腔室中分别共享制程来源146A、146B、146C(统称146),即制程系统供应器、电源、真空帮浦系统或诸如此类者。共享硬件及/或来源的其它范例可包括:一或更多个制程前置初抽帮浦、交流配电电源及直流电源、冷却水配线、冷却器、多通道温控器、气体分配盘、控制器、及诸如此类者。
一些实施例中,工厂界面102包含:至少一个坞站(docking station)108、以及至少一个工厂界面机械手114(示出两个)以帮助传送基板。坞站108建构成:可接收一或更多个前开式晶圆盒106A~B(FOUP,图中示出两个)。一些实施例中,工厂界面机械手114通常包含:刀刃116,该刀刃116设置于机械手114的末端,且经建构:用以将基板从工厂界面102通过装载锁定腔室122而传送至制程机台104以进行处理。可随意愿地使一或多个测量站118连接至工厂界面102的终端126,以便于测量来自前开式晶圆盒106A~B的基板。
一些实施例中,每个装载锁定腔室122可包含:第一通口123与第二通口125,第一通口123耦接至工厂界面102,以及第二通口125耦接至传送腔室136。装载锁定腔室122可耦接至压力控制系统,该压力控制系统可使装载锁定腔室122抽空或破真空(vent)以助于在传送腔室136的真空环境与工厂界面102的实质周遭环境(例如大气环境)之间传递基板。
一些实施例中,传送腔室136内部设有真空机械手130。真空机械手130通常包含:与活动臂131耦接的一或多个传送刀刃134(图中示出两个)。一些实施例中,例如当这些制程腔室110、111、112、132、128、120如图1所示般以两个为一组时,真空机械手130可具有两个平行传送刀刃134,这些传送刀刃134系经设计以使该真空机械手130可同时使两个基板124、126从装载锁定腔室122传送至各对制程腔室中(例如腔室110与111、112与132、以及120与128)。
这些制程腔室110、111、112、132、120、128可为任何用于基板处理的制程腔室类型。然而,为能使用这些共享来源,每一对制程腔室系同型腔室,例如蚀刻腔室、沉积腔室、或诸如此类者。可根据本发明教示内容进行修改的适当蚀刻腔室的非限制性范例包括:购自美国加州圣塔克拉拉市应用材料公司所生产的去耦合电浆源(DPS)系列腔室、HARTTM蚀刻腔室的任一者。包括来自其它制造商在内的其它蚀刻腔室亦可使用。
每对制程腔室110与111、112与132、以及120与128可具有共享来源146A、146B或146C。例如在一些实施例中,这些共享来源可包含:共享气体分配盘(例如图1中所示出用于共享来源146B、146C的气体分配盘)以提供如下述的制程气体。再者,这些共享来源可包含:共享真空帮浦,以用于使每个制程腔室连同每个制程腔室的相邻腔室一起抽空、或是单独抽空各别制程腔室。可择一或结合使用该共享真空帮浦,每个制程腔室可包含:各自独有的真空帮浦(未示出)以用于抽空每个制程腔室的内部容积。
一些实施例中,这些共享来源包含:位于制程腔室之间的共享气体分配盘。例如,共享来源146B包含:共享气体分配盘150,如图1所示般该气体分配盘150系由制程腔室112与制程腔室132两者共享。共享气体分配盘150可例如包含:多个制程气体源,这些制程气体源透过多个流量控制器与相关装置而耦接至这些制程腔室112、132。如本文中使用“流量控制器”一词系指:任何用于控制一种或多种气体流经该流量控制器的流率的装置,例如质量流量控制器、流量比控制装置、流量控制孔、或诸如此类者。举例而言,该共享气体分配盘150的各个气体源可耦接至一质量流量控制器,该质量流量控制器可计量从气体源以期望流率流出的气体。例如,可通过流量控制器152计量来自气体分配盘150的第一制程气体源(未示出)的第一制程气体,以及可通过流量控制器154计量来自共享气体分配盘150的第二制程气体源(未示出)的第二制程气体。该第一与第二制程气体可离开离流量控制器152、154,并且进入与各流量控制器152、154的各别出口耦接的混合器156中。第一与第二制程气体可在混合器156中混合及均质化,并且制程气体的混合比例系与这些流量控制器152、154所计量的每种制程气体的各别量成比例。
可经由多通道流量比控制器或能控制分别供应至各制程腔室的气体的其它类似装置,使来自混合器156的出口且已混合的第一与第二制程气体分配至制程腔室112、132,以及可随意愿地分配至指定制程腔室中的一或多个区域。例如,多通道流量比控制器158可包含:共享入口160,以用于接收来自混合器出口的已混合的第一和第二制程气体,并且可经由一或多个流量控制器(例如质量流量控制器、流量比控制器、固定孔或类似物、或上述装置的组合)而将该已混合的第一和第二制程气体分配至制程腔室112、132。在图1描述的实施例中,显示出两对流量控制器162、164、166、168,且第一对流量控制器(例如,162和164)系耦接至制程腔室112,以及第二对流量控制器(例如,166和168)系耦接至制程腔室132。
该多通道流量比控制器158可例如包含:一或更多个流量控制器,该一或多个流量控制系各别供应已混合的第一和第二制程气体至各别制程腔室112、132,该两个流量控制器耦接至图1中所绘的各腔室。例如,流量控制器162、164提供已混合的第一和第二制程气体至制程腔室112,以及流量控制器164、166提供已混合的第一和第二制程气体至制程腔室132。每一对流量控制器(例如,流量控制器162和164)可能以不同流率提供已混合的第一和第二制程气体给每个制程腔室,例如给制程腔室112。举例而言,流量控制器162提供已混合的第一和第二制程气体通过制程腔室112的第一入口170的流率可能不同于该流量控制器164提供已混合的第一和第二制程气体通过制程腔室112的第二入口172的流率。例如,该第一和第二入口170、172可能是一喷头(未示出)的内部区域和外部区域、不同区域的气体入口或诸如此类者。
该多腔室基板制程系统100还包括:质量流量验证器174,以验证系统100中来自上述各流量控制器及更多的需要流率验证的流量控制器的流率。例如,此类额外的流量控制器可能是该共享来源146A、或共享来源146C的一部分。这些共享来源146A和146C可具有共享气体分配盘、以及流量控制器的结构配置,且该流量控制器的结构配置系类似上述用于共享来源146B的流量控制器的结构配置。
质量流量验证器174可为任何用以验证质量流量控制器所提供的气体流率的适当装置。此类质量流量验证器可例如借着监控一段时间内于已知体积中的压力升高速率而操作、或是利用其它适当方法用以独立地确认任何一个受质量流量验证器174所监控的流量控制器的流率。某些实施例中,质量流量验证器174可安装于这些双腔室制程系统101、103、105的其中一者上。某些实施例中,质量流量验证器174可安装于该多腔室制程系统100的传送腔室136上,或是安装在其它某些适当位置以耦接至与该多腔室制程系统100的每个制程腔室耦接的各个流量控制器。
质量流量验证器174可通过位于流量控制器的出口下方(例如位于下游)的各别导管,而选择性地耦接至每个流量控制器。借着经由各别导管(而非利用来自供制程气体混合的歧管的单一个导管)使每个流量控制器选择性地耦接至该质量流量验证器,本发明装置有助于独立地验证及/或校准每个流量控制器,并且当验证或校准来自这些流量控制器的其中一者的流率时,不会影响其它流量控制器提供制程气体的能力。
每个导管可分别在指定流量控制器的下游位置处通过多向阀(未示出)或类似物,而耦接至该指定流量控制器,该每个导管可选择性地使各别流量控制器耦接至制程腔室、或质量流量验证器174其中一者。例如,当希望验证流量控制器的流率时,可利用多向阀引导通常从流量控制器的出口流向制程腔室的制程气体流入该导管中,进而流入该质量流量验证器174。于验证期间,待验证的流量控制器不提供制程气体给制程腔室,但该系统100的其余的所有流量控制器可继续提供制程气体给制程腔室,以(例如)用以处理基板或此类对象。某些实施例中,气流可绕过该质量流量验证器174,使得这些导管能快速排空,而无需流过该质量流量验证器174,从而可加速在验证不同气流之间的气体更换清洗动作。
例如,图1中显示数个导管把各个流量控制器耦接至质量流量验证器174。为了清楚表示,图1中省略一些导管。然而,该制程系统100的每个流量控制器可具有导管用以把该指定的流量控制器耦接至质量流量验证器174。例如,导管176使流量控制器152耦接至质量流量验证器174。类似导管(未示出)则使流量控制器154耦接至质量流量验证器174。同样地,导管178、180则使质量流量验证器174耦接至个别流量控制器162、166,这些流量控制器可提供类似(或相同)气流给相邻制程腔室112、132中的对应地区或区域。再者,这些导管可使提供一种制程气体的多个流量控制器耦接至同一个腔室。例如,导管180使该流量控制器166耦接至质量流量验证器174,以及导管182使流量控制器168耦接至该质量流量验证器174,其中该流量控制器166、168提供一种制程气体给该制程腔室132。
某些实施例中,所有使系统100的各个流量控制器与质量流量验证器174耦接的导管可具有:实质相等或大约相等的流导率(flowconductance)。本文中所使用“实质相等(或大约相等)”一词系:包含具有约±10%的流导率偏差的意思。或者,在某些实施例中,把供应制程气体的流量控制器耦接至不同制程腔室中的对应区域(例如各制程腔室中的第一区域、各制程腔室中的第二区域,等等)的这些导管可具有:实质相同或大约相等的流导率。举例而言,这些把流量控制器162、166耦接在相邻制程腔室112、132上的导管178、180可具有:实质相同或大约相等的流导率,而得以利用质量流量验证器174比较个别流量控制器162、166的流率。同样地,使流量控制器152与质量流量验证器174耦接的导管176以及使流量控制器186与该质量流量验证器耦接的导管184可具有:实质相同或大约相等的流导率。在前述例子中,流量控制器186供应给该双腔室制程系统103的制程气体以及该流量控制器152供应给该双腔室制程系统105的制程气体系相同制程气体。
或者,可择一或结合使全部或数对的导管具有实质相似的流导率,该质量流量验证器174可设计用以产生扼流(choked flow),其中例如从导管进入该质量流量验证器174的制程气体的流率系与该导管的流导率无关。例如,质量流量验证器174可还包括:临界流体喷嘴188,该临界流体喷嘴188设置于质量流量验证器174的入口处,使得该制程气体在进入质量流量验证器174时会流经该临界流体喷嘴。例如可依据入口孔及出口孔的直径、长度、形状或诸如此类因素来设计该临界流体喷嘴188,以使进入该临界流体喷嘴188中的任何气体的流率标准化(normalize),而不受提供气体的导管的流导率所影响。例如,该临界流体喷嘴可能提供限制,使得跨越该整个临界流体喷嘴的压降至少是该压力的一半(例如该临界流体喷嘴的紧接上游处的第一压力至少是该临界流体喷嘴紧接下游处的第二压力的至少两倍大)。可择一或结合使全部或数对的导管具有实质相似的流导率,及/或使该质量流量验证器174设计成用以产生扼流,在某些实施例中,可使下游的流导率最小化以提供较低的基线压力(baselinepressure),从而能够使用较高的流率。
系统控制器144耦接至制程系统100,以用于控制该制程系统100或该制程系统100的构件。例如,系统控制器144可利用直接控制系统100的这些制程腔室110、111、112、132、128、120,或者借着控制与这些制程腔室110、111、112、132、128、120及系统100相关联的计算机(或控制器),来控制系统100的操作。操作时,系统控制器144能收集数据,并且根据各别腔室和系统控制器144的回馈使系统100的效能最佳化。
系统控制器144通常包含:中央处理单元(CPU)138、内存140、及支持电路142。CPU 138可为能用于工业设定的任何形式的通用计算机处理器。CPU 138可存取该内存或计算机可读媒体140,并且该内存或计算机可读媒体140可能是一或多个可轻易取得的远程或内建内存,例如随机存取内存(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其它形式的数字储存器。这些支持电路142一般耦接至CPU 138,并且可包含:高速缓存、时钟电路、输入/输出子系统、电源供应器、及诸如此类者。本发明中所描述的发明方法通常可作为软件例程储存于内存140中、或储存于如上述特定一对制程腔室的内存中,而当利用该CPU 138执行该发明方法时可使该对制程腔室根据本发明执行制程。
以上设备可被用于通过各种方式来校准多个流量控制器。例如,在一些实施例中,用于对衬底处理系统(包括连接至中央真空传输腔的第一处理腔以及第二处理腔)中的多个流量控制器进行校准的方法可包括以第一流率从连接至第一处理腔的第一流量控制器提供第一气体,引导该第一气体经由第一导管而流至质量流量验证器;使用该质量流量验证器测量该第一流率;从与第二制程腔室耦接的第二流量控制器以第二流率提供第二气体;引导该第二气体经由第二导管而流至该质量流量验证器;以及使用该质量流量验证器测量该第二流率。
在一些实施例中,用于对衬底处理系统中的多个流量控制器进行校准的方法可包括通过连接至第一处理腔的第一区的第一流量控制器来向质量流量验证器提供第一气体;使用该质量流量验证器测量第一气体的该第一流率;通过连接至第一处理腔的第二区的第二流量控制器来向质量流量验证器提供第二气体;并且使用该质量流量验证器测量第二气体的该第二流率;其中,在第二流量控制器向质量流量验证器提供第二气体的同时,第一流量控制器能够向第一区提供第一气体。在一些实施例中,可以各自的流率来提供第一气体及第二气体,以获得希望的流率。可基于对第一及第二流率的判定结果来判定第一与第二流率之间的实际流量比,并且可将实际流量比与希望的流量比进行比较以判定是否提供了希望的流量比。
图2示出根据本发明某些实施例于基板制程系统中校准多个流量控制器的方法200的流程图。以下将依据图1所绘的发明装置说明该方法200。
于步骤202,可使该与第一制程腔室耦接的第一流量控制器以第一流率供应至第一制程腔室的第一气体提供给质量流量验证器。例如,该第一流量控制器可能是上述系统100的任一个流量控制器,然而以下将参照双腔室制程系统105的流率控制器162、166及168说明该方法200。因此,为描述该方法200,该第一流量控制器可为流量控制器166,该流量控制器166可于标准操作条件下提供第一气体至制程腔室132;然而余留量验证期间,系如上述般通过导管180引导该第一气体流至质量流量验证器174。例如,于标准操作条件下,该流量控制器166经由第一入口171提供第一气体至制程腔室,其中该第一入口171实质上相当于上述制程腔室112的第一入口170。
于步骤204,使用质量流量验证器174测量该流量控制器166的第一流率。例如,可利用已知体积中的压力上升速率或类似的验证方法测量该第一流率。如上所述,质量流量验证器174可设计用于产生扼流(chokedflow)、或每个导管的流导率可为已知或实质相似。例如,若质量流量验证器174所测得的第一流率与该流量控制器166所读取的第一流率实质不相同时,可根据该质量流量验证器174所测得的第一流率,校准该流量控制器166。举例而言,在某些实施例中若所测得的第一流率与该流量控制器166所读取的第一流率之间存在约1%至约5%的差异,该流量控制器166可能需要校准。例如,若该差异小于约1%,则流量控制器166可视为处于可操作的条件下。若该差异大于约5%,则需更换该流量控制器166。
于步骤206,待使用质量流量验证器174测量流量控制器166的第一流率之后,可清空该质量流量验证器174中的第一气体。例如,可借着从导管180引导第一气体回到制程腔室132及/或关闭该流量控制器166,而清空该质量流量验证器174中的第一气体。待通过导管180的第一气体气流停止后,可利用与该系统耦接或属于该系统100的一部份的真空帮浦或类似装置经由质量流量验证器174的出口(未示出)移除第一气体。
于步骤208,可使该利用与第二制程腔室耦接的第二流量控制器以第二流率供应至第二制程腔室的第二气体提供给该质量流量验证器174。例如,该第二流量控制器可为流量控制器162,该第二流量控制器可为流量控制器可在标准操作条件下供应第二气体至制程腔室112;然而于流量验证期间,系如上述般通过导管178引导该第二气体流至质量流量验证器174。在某些实施例中,该第二气体实质上可相当于第一气体。在一些实施例中,该第二流率实质上可相当于第一流率。在某些实施例中,当利用质量流量验证器174验证该流量控制器162的流率时,流量控制器166可提供第一气体至制程腔室132。在某些实施例中,当正在验证该流量控制器162的流率时,可关闭流量控制器166。同样地,当于如上述般于步骤202及204验证流量控制器166的同时,该流量控制器162可提供第一气体至制程腔室112或关闭任选一者。
于步骤210,可使用质量流量验证器174测量该流量控制器162的第二流率。例如,可通过以上步骤204所述的任一种方法测量该第二流率。举例而言,在某些实施例中,若测得的第二流率与流量控制器162所读取的第二流率之间存在约1%至约5%的差异时,则流量控制器162可能需要校准。例如,若该差异小于约1%,该流量控制器162可视为处于可操作的条件。若该差异大于约5%,则需更换该流量控制器162。
可替代方法步骤208~210或与步骤208~210合并使用,方法200可进行至步骤302(如图3所示),于步骤302中,可使第三流量控制器以第三流率供应至第一制程腔室的第一气体提供给该质量流量验证器174。例如,第三流量控制器可为流量控制器168,该流量控制器在标准操作条件下提供第一气体至制程腔室132;然而于流量验证期间,系如上述般透过导管182引导该第一气体流至质量流量验证器174。例如,于标准操作条件下,流量控制器168经由第二入口173提供第一气体至制程腔室,其中该第二入口173实质上相当于上述制程腔室112的第二入口172。例如,于步骤206清除质量流量验证器174中的第一气体之后,可使方法200进行至步骤302。或者,于步骤210验证流量控制器162后,并且清除质量流量验证器174中的第二气体后(未示出于第2~3图的方法200的流程图中),可使方法200进行至步骤302。
如上述,流量控制器166、168可为多通道流量比控制器158的一部分,该多通道流量比控制器158包含:共享入口160以用于接收第一气体,该第一气体可例如是由混合器156的出口所提供的制程气体混合物,并且该多通道流量比控制器158可经由一或更多个流量控制器分配该第一气体(例如单一种气体或气体混合物)至这些制程腔室112、132,例如在此示范实施例中,是经由流量控制器166、168分配气体至制程腔室132。例如,流量控制器166、168可使第一入口与第二入口171、173之间以期望的流率比提供第一气体至制程腔室132。例如可借着设定流量控制器166、168的第一和第三流率至期望流率来达到期望的流率比。为了确认:通过这些流量控制器166、168以期望的流率比输送至制程腔室132,可利用质量流量验证器174独立地验证每个流量控制器的流率。例如上述般,流量控制器166系于步骤204经验证。
于步骤304,使用质量流量验证器174测量该流量控制器168的第三流率。例如,利用如于步骤204所述的这些方法中的任一方法测量第三流率。举例而言,在某些实施例中,若所测得的第三流率与该流量控制器168读取的第三流率之间存在约±5%的差异时,则该流量控制器168可能需要校准。
如同上述实施例,这些流量控制器162、166的任一者或两者可停止运作(idled)、或供应气体至各别制程腔室112、132的一者,同时如下所述般地验证该流量控制器168。例如在某些实施例中,可经由流量控制器162(及/或经由流量控制器164)提供第二气体至制程腔室112以处理放置于制程腔室112中的基板(未示出),同时利用质量流量验证器174验证该流量控制器168。此外,当利用质量流量验证器174验证该流量控制器168的同时,该流量控制器166可以停止运作或正在运作。
再者,于步骤304测量该第三流率之后,可借着比较所测得的第一与第三流率而测定出该流量控制器166、168将要经由第一与第二入口171、173而供应至该制程腔室132的第一气体的流率比。同样如上述般,可各自依据所测得知流率比来校准该第一与第三流量控制器,或者可根据所测得的流率比而整体校准该多通道流量比控制器158。
于步骤306,于完成测量该流量控制器168的第三流率后,清空质量流量验证器174中的第一气体。例如,可使用上述方法中的任一种方法,清空该质量流量验证器174。于步骤306中清空质量流量验证器174的第一气体后,该方法200可例如进行至步骤208、或选择验证另一个流量控制器,例如可选择验证与该双腔室制程系统103的共享来源146C连接的流量控制器186或其它流量控制器。或者,若该系统100的所有流量控制器皆已利用方法200加以验证,则可再次执行或定期地执行该方法200,或于制程执行期望次数之后、或是希望验证该系统100的流量控制器的校准时可执行该方法200。
虽然上述内容系有关群集工具的结构配置,但以上教示内容亦可用于修改具有多个区域的单一制程腔室,以帮助确认流率并且校准与该单一制程腔室的多个区域耦接的多个流量计量器。可择一或额外地根据本发明教示内容,修改多个邻近设置的制程腔室以共享一个质量流量验证器。一些实施例中,可根据本发明教示内容修改一种双腔室制程系统(例如系统101)以共享一个质量流量验证器,而无需安装在群集工具上。
因此,本发明揭示于基板制程系统中用于校准多个流量控制器的方法与装置。该发明方法及装置有利地帮助测量由一或更多个流量控制器所提供的一或更多个流率,并且可与一参考标准(例如,质量流量验证器)以及与不同配置中的一或更多个制程腔室耦接的其它流量控制器两者做直接比较。因此这些发明系统及方法可有利地缩短校准各个流量控制器所需的时间,且增进这些流量控制器测量之间的一致性,从而有助于增进腔室匹配性,例如可增进不同腔室在相似制程条件下操作所得的制程结果的一致性。
虽然上述内容系描述本发明的多个实施例,但在不偏离本发明基本范围下当可做出其它或进一步的发明实施例。

Claims (15)

1.一种基板制程系统,该基板制程系统包含:
群集工具,该群集工具包含:第一制程腔室、及第二制程腔室,该第一与该第二制程腔室系耦接至中央真空传送腔室;
第一流量控制器,该第一流量控制器提供制程气体至该第一制程腔室;
第二流量控制器,该第二流量控制器提供该制程气体至该第二制程腔室;
质量流量验证器,该质量流量验证器验证各别来自该第一及第二流量控制器的流率;
第一导管,该第一导管选择性地使该第一流量控制器耦接至该质量流量验证器;以及
第二导管,该第二导管选择性地使该第二流量控制器耦接至该质量流量验证器。
2.如权利要求7所述的基板制程系统,其中该一及第二导管具有相同的流导率。
3.如权利要求7所述的基板制程系统,还包括:
共享气体分配盘,该共享气体分配盘用以提供该制程气体至该第一及第二流量控制器。
4.如权利要求7所述的基板制程系统,还包括:
第三流量控制器,该第三流量控制器提供该制程气体至该第一制程腔室;以及
第三导管,该第三导管选择性地使该第三流量控制器耦接至该质量流量验证器,以验证来自该第三流量控制器的流率;
其中当该第一、第二或第三流量控制器的任一者由该质量流量验证器验证后,该第一、第二或第三流量控制器的任意两者能够使该制程气体各别流至该第一或第二程腔室的一者。
5.如权利要求1至4中任一项所述的基板制程系统,其中该质量流量验证器还包括:
临界流体喷嘴,其中该第一气体流经该临界流体喷嘴的流率系与该第一导管中的流导率无关,以及其中该第二气体流经该临界流体喷嘴的流率系与该第二导管中的流导率无关。
6.一种基板制程系统,该基板制程系统包含:
第一流量控制器,该第一流量控制器提供制程气体至一第一制程腔室的一第一区域;
第二流量控制器,该第二流量控制器提供该制程气体至该第一制程腔室的一第二区域;
质量流量验证器,该质量流量验证器验证各别来自该第一及第二流量控制器的流率;
第一导管,该第一导管选择性地使该第一流量控制器耦接至该质量流量验证器;以及
第二导管,该第二导管选择性地使该第二流量控制器耦接至该质量流量验证器。
7.一种用于在基板制程系统中校准多个流量控制器的方法,该基板制程系统包含:与中央真空传送腔室耦接的第一制程腔室及第二制程腔室,该方法包含以下步骤:
从与第一制程腔室耦接的第一流量控制器以第一流率提供第一气体;
引导该第一气体经由第一导管而流至质量流量验证器;
使用该质量流量验证器测量该第一流率;
从与第二制程腔室耦接的第二流量控制器以第二流率提供第二气体;
引导该第二气体经由第二导管而流至该质量流量验证器;以及
使用该质量流量验证器测量该第二流率。
8.如权利要求7所述的方法,还包括以下步骤:
依据利用该质量流量验证器所测得的第一流率,校准该第一流量控制器;以及
依据利用该质量流量验证器所测得的第二流率,校准该第二流量控制器。
9.如权利要求7所述的方法,其中使该第一流量控制器与该质量流量验证器耦接的第一导管、以及使该第二流量控制器与该质量流量验证器耦接的第二导管具有实质相同的流导率。
10.如权利要求7所述的方法,其中或者:
使该第一流量控制器与该质量流量验证器耦接的第一导管、以及使该第二流量控制器与该质量流量验证器耦接的第二导管具有:不同的流导率,并且其中该质量流量验证器更建构成:使得该第一气体从该第一导管进入该质量流量验证器的流率与该第一导管中的流导率无关,以及使得:该第二气体通过该第二导管进入该质量流量验证器的流率与该第二导管中的流导率无关;或者
使该第一流量控制器与该质量流量验证器耦接的第一导管、以及使该第二流量控制器与该质量流量验证器耦接的第二导管具有:不同的容积,并且其中该质量流量验证器更建构成:使得该第一气体从该第一导管进入该质量流量验证器的流率与该第一导管中的容积无关,以及使得:该第二气体通过该第二导管进入该质量流量验证器的流率与该第二导管中的容积无关。
11.如权利要求7所述的方法,还包括以下步骤:
使该第一气体从第一气体分配盘流至该第一流量控制器;以及
使该第二气体从第二气体分配盘流至该第二流量控制器。
12.如权利要求7所述的方法,其中该第一气体及该第二气体为相同气体,以及其中该一气体及该第二气体系从位于该第一与第二制程腔室之间所共享的共享气体分配盘供应至该第一和第二流量控制器。
13.如权利要求7所述的方法,还包括以下步骤:
在完成该第一流量控制器的该第一流率的测量之后,通过该第一质量流量控制器重新使该第一气体流至该第一制程腔室;以及
利用该第一流量控制器维持该第一气体流至该第一制程腔室,同时测量该第二流量控制器的该第二流率。
14.如权利要求7所述的方法,还包括以下步骤:
从与该第一制程腔室耦接的第三流量控制器以第三流率提供该第一气体;
引导该第一气体经由第三导管而流至该质量流量验证器;以及
使用该质量流量验证器测量该第三流率,其中该第一流量控制器提供该第一气体至该第一制程腔室的第一气体入口,以及该第三流量控制器提供该第一气体至该第一制程腔室的第二气体入口。
15.如权利要求14所述的方法,还包括以下步骤:
比较所测得的第一及第三流率,以测定流率比;以及
依据所测得的流率比来校准该第一及第三流量控制器。
CN201180007645.2A 2010-04-30 2011-04-25 基板制程系统中用于校准流量控制器的装置及方法 Active CN103038867B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33005610P 2010-04-30 2010-04-30
US61/330,056 2010-04-30
US12/915,345 2010-10-29
US12/915,345 US8707754B2 (en) 2010-04-30 2010-10-29 Methods and apparatus for calibrating flow controllers in substrate processing systems
PCT/US2011/033780 WO2011137071A2 (en) 2010-04-30 2011-04-25 Methods and apparatus for calibrating flow controllers in substrate processing systems

Publications (2)

Publication Number Publication Date
CN103038867A true CN103038867A (zh) 2013-04-10
CN103038867B CN103038867B (zh) 2016-03-16

Family

ID=44857185

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180007645.2A Active CN103038867B (zh) 2010-04-30 2011-04-25 基板制程系统中用于校准流量控制器的装置及方法

Country Status (6)

Country Link
US (1) US8707754B2 (zh)
JP (1) JP5986988B2 (zh)
KR (1) KR101451091B1 (zh)
CN (1) CN103038867B (zh)
TW (1) TWI483306B (zh)
WO (1) WO2011137071A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105316660A (zh) * 2014-07-31 2016-02-10 纽富来科技股份有限公司 气相生长装置及气相生长方法
CN105470164A (zh) * 2014-09-29 2016-04-06 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN112563105A (zh) * 2019-09-10 2021-03-26 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5054500B2 (ja) * 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5346628B2 (ja) 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9091397B2 (en) 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9062993B2 (en) * 2012-05-22 2015-06-23 E I Du Pont De Nemours And Company Method and apparatus for liquid flow calibration check
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104733347B (zh) * 2013-12-24 2018-03-09 北京北方华创微电子装备有限公司 半导体加工设备中气体切换的装置、方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US20180166300A1 (en) * 2016-12-13 2018-06-14 Lam Research Ag Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020148473A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法及び基板処理システム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021096907A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Gas delivery systems and methods
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7411479B2 (ja) * 2020-03-31 2024-01-11 東京エレクトロン株式会社 複数のチャンバ圧力センサを校正する方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11733081B2 (en) * 2021-04-13 2023-08-22 Applied Materials, Inc. Methods, systems, and apparatus for conducting a calibration operation for a plurality of mass flow controllers (MFCs) of a substrate processing system
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030230239A1 (en) * 2002-06-17 2003-12-18 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
CN1574200A (zh) * 2003-05-12 2005-02-02 艾格瑞系统有限公司 质量流控制流量检定和校准的方法
US20050098906A1 (en) * 2003-08-28 2005-05-12 Asm Japan K.K. Source gas flow control and CVD using same
CN101256936A (zh) * 2007-02-26 2008-09-03 应用材料股份有限公司 用于控制流到处理腔室的气流的方法和装置
CN101329998A (zh) * 2004-02-26 2008-12-24 东京毅力科创株式会社 半导体处理装置
CN101536159A (zh) * 2006-11-17 2009-09-16 朗姆研究公司 进行实际流量检验的方法
CN101672669A (zh) * 2005-03-16 2010-03-17 兰姆研究公司 气体流速校验系统和方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5526674A (en) * 1992-04-30 1996-06-18 Sierra Instruments, Inc. Method and apparatus for improved flow rate measurement and calibration
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US6596091B1 (en) * 1998-04-29 2003-07-22 Applied Materials, Inc. Method for sweeping contaminants from a process chamber
JP2001159549A (ja) * 1999-12-02 2001-06-12 Osaka Gas Co Ltd 流路切替式ガスメータ
AU2001286619A1 (en) * 2000-08-22 2002-03-04 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6955072B2 (en) * 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
US20050186339A1 (en) 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4086057B2 (ja) * 2004-06-21 2008-05-14 日立金属株式会社 質量流量制御装置及びこの検定方法
KR100754386B1 (ko) 2004-10-28 2007-08-31 삼성전자주식회사 양방향 화학기상증착 시스템 및 이를 이용한 펄스형 공정진행 방법
US7461549B1 (en) * 2007-06-27 2008-12-09 Mks Instruments, Inc. Mass flow verifiers capable of providing different volumes, and related methods
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
JP2007208085A (ja) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp プラズマ処理装置及びその分流比検定方法
CN101395453B (zh) * 2006-03-07 2010-09-29 喜开理株式会社 气体流量检验单元
JP4765746B2 (ja) * 2006-04-17 2011-09-07 日立金属株式会社 遮断弁装置及びこれを組み込んだ質量流量制御装置
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US20080302426A1 (en) * 2007-06-06 2008-12-11 Greg Patrick Mulligan System and method of securing removable components for distribution of fluids
KR20090025823A (ko) * 2007-09-07 2009-03-11 한국표준과학연구원 이동없이 가능한 진공게이지의 교정/시험 장치 및 그 방법
JP4598044B2 (ja) * 2007-10-29 2010-12-15 シーケーディ株式会社 流量検定故障診断装置、流量検定故障診断方法及び流量検定故障診断プログラム
US8205629B2 (en) * 2008-04-25 2012-06-26 Applied Materials, Inc. Real time lead-line characterization for MFC flow verification
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US9644796B2 (en) * 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US9772629B2 (en) * 2011-09-29 2017-09-26 Applied Materials, Inc. Methods for monitoring a flow controller coupled to a process chamber

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030230239A1 (en) * 2002-06-17 2003-12-18 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
CN1574200A (zh) * 2003-05-12 2005-02-02 艾格瑞系统有限公司 质量流控制流量检定和校准的方法
US20050098906A1 (en) * 2003-08-28 2005-05-12 Asm Japan K.K. Source gas flow control and CVD using same
CN101329998A (zh) * 2004-02-26 2008-12-24 东京毅力科创株式会社 半导体处理装置
CN101672669A (zh) * 2005-03-16 2010-03-17 兰姆研究公司 气体流速校验系统和方法
CN101536159A (zh) * 2006-11-17 2009-09-16 朗姆研究公司 进行实际流量检验的方法
CN101256936A (zh) * 2007-02-26 2008-09-03 应用材料股份有限公司 用于控制流到处理腔室的气流的方法和装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105316660A (zh) * 2014-07-31 2016-02-10 纽富来科技股份有限公司 气相生长装置及气相生长方法
CN105470164A (zh) * 2014-09-29 2016-04-06 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN112563105A (zh) * 2019-09-10 2021-03-26 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法

Also Published As

Publication number Publication date
CN103038867B (zh) 2016-03-16
JP5986988B2 (ja) 2016-09-06
TW201212121A (en) 2012-03-16
US8707754B2 (en) 2014-04-29
KR20130025863A (ko) 2013-03-12
JP2013529381A (ja) 2013-07-18
TWI483306B (zh) 2015-05-01
KR101451091B1 (ko) 2014-10-15
WO2011137071A3 (en) 2012-03-01
WO2011137071A2 (en) 2011-11-03
US20110265549A1 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
CN103038867A (zh) 基板制程系统中用于校准流量控制器的装置及方法
TWI589726B (zh) 使用多區域氣體進料器之電漿處理室中的共用氣體面板
KR102564514B1 (ko) 방위각 믹서
CN102339078A (zh) 控制流体混合以及复用设定点的系统和方法
TWI586900B (zh) 電漿處理系統中用以供應處理氣體之共用氣體面板、設備、及方法
CN101573174A (zh) 控制流体混合以及复用设定点的系统和方法
TWI460371B (zh) 短蝕刻配方用之氣體輸送延遲解決方案
KR101571162B1 (ko) 범용 유체 흐름 어댑터
TWI693638B (zh) 獨立於配置的氣體輸送系統
US20160181071A1 (en) Gas supply system
TWI821336B (zh) 氣體分配器及氣體混合器
KR20140121904A (ko) 오리피스 비율 전도성 제어를 이용하여 유동 분할 에러들을 감소시키기 위한 장치 및 방법들
KR20150030619A (ko) 클러스터 질량 유량 디바이스들 및 이를 포함한 다중-라인 질량 유량 디바이스들
CN109637952A (zh) 腔室进气结构以及反应腔室
CN110021541A (zh) 动态冷却剂混合歧管
JP2019114225A (ja) マスフローコントローラ
US10794508B2 (en) Atmosphere control manifold
US20210223800A1 (en) Gas delivery system with electrical backplane
CN219930244U (zh) 半导体加工系统
CN112362719A (zh) 一种气流流量控制装置及离子迁移谱仪
US20230377925A1 (en) Purge flow distribution system for a substrate container and method for performing the same
CN207585667U (zh) 一种双温双压均温水槽
CN217092956U (zh) 一种用于多路气体混合的气体混合腔
CN201543390U (zh) 一种动态配气仪
CN112563105A (zh) 等离子体处理装置中实现气体流量验证的系统及方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant