JP2019525492A - 共有真空システムを有するマルチチャンバ処理システム - Google Patents

共有真空システムを有するマルチチャンバ処理システム Download PDF

Info

Publication number
JP2019525492A
JP2019525492A JP2019511335A JP2019511335A JP2019525492A JP 2019525492 A JP2019525492 A JP 2019525492A JP 2019511335 A JP2019511335 A JP 2019511335A JP 2019511335 A JP2019511335 A JP 2019511335A JP 2019525492 A JP2019525492 A JP 2019525492A
Authority
JP
Japan
Prior art keywords
processing
chamber
vacuum
shared
pump
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019511335A
Other languages
English (en)
Inventor
フェルナンド エム シルベイラ
フェルナンド エム シルベイラ
チュンレイ ザン
チュンレイ ザン
デビッド アルストロム
デビッド アルストロム
マイケル アール ライス
マイケル アール ライス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019525492A publication Critical patent/JP2019525492A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

共有真空システムを有するマルチチャンバ処理システムのための方法及び装置が本明細書に開示されている。いくつかの実施形態では、基板を処理するためのマルチチャンバ処理システムは第1の処理チャンバと、第2の処理チャンバと、第1及び第2の弁を介して第1及び第2の処理チャンバ、並びに第1の共有真空ポンプに接続されている第1の真空システムと、第3及び第4の弁を介して第1及び第2の処理チャンバ、並びに第2の共有真空ポンプに接続されている第2の真空システムとを備え、第2の真空システムは第1の真空システムから流体的に独立している。

Description

分野
本開示の実施形態は、概して基板処理システムに関し、より具体的にはマルチチャンバ処理システムのための方法及び装置に関する。
背景
共有搬送チャンバに複数の処理チャンバを有するクラスタツール等の処理システムを例えば利用して、システムコスト及び製造コストを削減し、処理のスループットを向上させている。しかし、従来の処理チャンバは、必要な処理リソースと共に独立的に構成されて、処理チャンバ内での特定の処理の実行を容易にしている。そのようなシステムを所有し、運用するには多額の費用が必要になる。
そこで、処理チャンバ間でリソースを共有することによってシステムコストをさらに低減することが可能なシステムが開発されてきた。具体的には、処理システムは、例えば、共有真空ポンプ、共有ガスパネル等の共有リソースを有しており、システムコストと基板の製造コストを削減できる。残念ながら、真空ポンプを共有した結果として、マルチチャンバ処理システムのある第1の処理チャンバの整備には、マルチチャンバ処理システムの少なくとも1つの他の処理チャンバを停止させる必要があることを、本発明者らはさらに発見した。この結果、このシステムのスループットは低下することになる。
したがって、本発明者らは、共有真空システムを有する改良型マルチチャンバ処理システムを提供する。
概要
共有真空システムを有するマルチチャンバ処理システムのための方法及び装置が本明細書に開示される。いくつかの実施形態では、基板を処理するためのマルチチャンバ処理システムは第1の処理チャンバと、第2の処理チャンバと、第1及び第2の弁を介して第1及び第2の処理チャンバ、並びに第1の共有真空ポンプに接続されている第1の真空システムと、第3及び第4の弁を介して第1及び第2の処理チャンバ、並びに第2の共有真空ポンプに接続されている第2の真空システムとを備え、第2の真空システムは第1の真空システムから流体的に独立している。
いくつかの実施形態において、マルチチャンバ処理システムのチャンバを第1の真空システム又は第2の真空システムのどちらか一方に選択的に接続する方法は、チャンバを第1の真空システムに接続している第1の弁を閉じて、チャンバを第1の真空システムから分離する工程と、チャンバの整備を行う工程と、チャンバを第2の真空システムに接続する第3の弁を開く工程と、第2の真空システムに接続された第2の共有真空ポンプを使用して、クロスオーバー圧力までチャンバの真空引きを行う工程と、第3の弁を閉じて、チャンバを第2の真空システムから分離する工程と、第1の弁を開いて、チャンバを第1の真空システムに流体的に接続し、チャンバが運転を再開できるようにする工程とを含み、第1及び第2の真空システムは、互いに流体的に独立しており、マルチチャンバ処理システムのすべてのチャンバに接続されている。
いくつかの実施形態では、基板を処理するためのマルチチャンバ処理システムは、第1の処理容積を有する第1の処理チャンバと、第2の処理容積を有する第2の処理チャンバと、第1及び第2の弁を介して第1及び第2の処理チャンバ、並びに粗動ポンプに接続されている第1の真空システムと、第3及び第4の弁を介して第1及び第2の処理チャンバ、並びにターボ分子ポンプに接続されている第2の真空システムとを備え、第2の真空システムは第1の真空システムから流体的に独立しており、粗動ポンプは、マルチチャンバ処理システムのすべてのチャンバ内の処理圧力を維持するように構成され、ターボ分子ポンプは、第1及び第2の処理容積のうち、整備されているどちらか一方を、粗動ポンプによって提供される処理圧力よりも低いクロスオーバー圧力を下回るまで減圧するように構成されている。
本開示の他の更なる実施形態を以下に記載する。
上記に簡単に要約され、以下により詳細に論じられる本開示の実施形態は、添付図面に示される本開示の例示的な実施形態を参照することによって理解することができる。しかし、添付図面は本開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると解釈されるべきできなく、本開示は他の等しく有効な実施形態を含み得ることに留意すべきである。
本開示のいくつかの実施形態による処理システムの概略上面図を示す。 本開示のいくつかの実施形態によるマルチチャンバ処理システムの概略側面図を示す。 本開示のいくつかの実施形態による、マルチチャンバ処理システムの1つのチャンバの整備を行う方法のフローチャートを示す。
理解を容易にするために、可能な限り同一の符号を使用して、これらの図に共通する同一の要素を示している。これらの図は一定の縮尺で描かれておらず、明快さを優先して簡略化されている場合がある。1つの実行形態の要素及びフィーチャーは、具体的な記述がなくとも、他の実行形態に有益に組み込まれ得る。
詳細な説明
共有真空システムを有するマルチチャンバ処理システムのための方法及び装置が本明細書に開示される。本発明のマルチチャンバ処理システムは、共有真空システムを備えており、この共有真空システムによって、有益にも、ある1つのチャンバで整備が行われ、その間にも、処理は中断されずに他のチャンバで実行できるようになっている。さらに本発明の方法は、共有真空システムの運転を好都合に制御して、ある1つのチャンバの整備を促進し、その間にも、マルチチャンバ処理システムの他のチャンバにおける処理を中断せずに継続することを可能にしている。
本明細書に開示されるマルチチャンバ処理システムは、接続されたいくつかのツインチャンバ処理システムを有するクラスタツール、例えば図1に示す処理システム100等、の一部であり得る。図1を参照する。いくつかの実施形態において、処理システム100は、通常、真空気密処理プラットフォーム104と、ファクトリインタフェース102と、1つ以上のツインチャンバ処理システム101、103、105と、システムコントローラ144を備えることができる。本明細書で提供される教示に従って適切に修正することができる処理システムの例には、統合処理システムCENTURA(商標名)、PRODUCER(商標名)系列の処理システムの1つ(PRODUCER GT(商標名)等)、ADVANTEDGE(商標名)処理システム、CENTRIS(商標名)処理システム、又は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されている他の適切な処理システムがある。他の処理システム(他の製造業者から市販されているものを含む)も、本開示から利益を得るように適合させることができる。
処理プラットフォーム104は、1つ以上のツインチャンバ処理システム101、103、105(図1には3つが示されている)を備え、各ツインチャンバ処理システムは、2つの処理チャンバ(例えば、110と111、112と132、120と128)を備える。プラットフォームは、真空で基板搬送チャンバ136に接続された少なくとも1つのロードロックチャンバ122(図1には2つが示されている)をさらに備える。ファクトリインタフェース102は、ロードロックチャンバ122を介して搬送チャンバ136に接続されている。
各ツインチャンバ処理システム101、103、105は独立した処理容積を備えており、それらは互いに分離されてもよい。各ツインチャンバ処理システム101、103、105は、ツインチャンバ処理システムの各処理チャンバ間でリソース(例えば、処理ガス供給、真空ポンプ等)を共有するように構成されてもよい。以下ではこのことを論じると共に、図2に図解を示す。
ファクトリインタフェース102は、少なくとも1つのドッキングステーション108と少なくとも1つのファクトリインタフェースロボット114(図1には2つを示す)とを備えて、基板の搬送を容易にしてもよい。ドッキングステーション108は、1つ以上(図1には2つを示す)の前面開閉式統合ポッド(FOUP)106A、Bを受け入れるように構成されてもよい。ファクトリインタフェースロボット114は、ファクトリインタフェース102から処理プラットフォーム104へ基板を搬送するように構成されたファクトリインタフェースロボット114の一端に配置されたブレード116を備えて、ロードロックチャンバ122を通して処理を進めてもよい。任意選択的に、1つ以上の計測ステーション118をファクトリインタフェース102の末端127に接続して、FOUP106A、Bからの基板の測定を容易にしてもよい。
ロードロックチャンバ122の各々は、ファクトリインタフェース102に接続された第1のポート123と搬送チャンバ136に接続された第2のポート125とを備えてもよい。ロードロックチャンバ122は、圧力制御システム(図示せず)に接続されてもよく、この圧力制御システムによって、ロードロックチャンバ122の真空引き及び大気通気を行うことで、搬送チャンバ136の真空環境と、ファクトリインタフェース102のほぼ外界と同じ(例えば、大気)環境との間での基板の通過が容易になる。
搬送チャンバ136には内部に真空ロボット130が配置されている。真空ロボット130は、可動アーム131に接続された1つ以上の搬送ブレード134(図1には2つが示されている)を有してもよい。例えば、いくつかの実施形態では、図示のようにツインチャンバ処理システムが搬送チャンバ136に接続されており、真空ロボット130は、2つの平行搬送ブレード134を有することができる。そして、この平行搬送ブレード134は、真空ロボット130がロードロックチャンバ122とツインチャンバ処理システムの処理チャンバとの間で2つの基板124、126を同時に搬送できるように構成されている。ここでツインチャンバ処理システムの処理チャンバとは、例えば、ツインチャンバ処理システム101の処理チャンバ110、111である。
各ツインチャンバ処理システム101、103、105の処理チャンバ110、111又は112、132又は120、128は、基板処理で利用される任意の種類の処理チャンバであり得て、例えば、エッチングチャンバ、堆積チャンバ等が該当する。いくつかの実施形態において、各ツインチャンバ処理システムの処理チャンバ、例えばツインチャンバ処理システム101の処理チャンバ110、111は、同じ機能、例えばエッチングのために構成されている。例えば、ツインチャンバ処理システムの各処理チャンバがエッチングチャンバである実施形態では、各処理チャンバは、プラズマ源、例えば、誘導結合又は容量結合プラズマ源、遠隔プラズマ源等を備えてもよい。さらに、ツインチャンバ処理システムの各処理チャンバは、(以下で論じるように)例えば共有ガスパネルによって供給されるハロゲン含有ガスを使用して、その内部に配置された基板(例えば基板124、126)をエッチングしてもよい。ハロゲン含有ガスの例には、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等が含まれる。例えば、基板124、126をエッチングした後に、ハロゲン含有残留物が基板表面に残ることがある。ハロゲン含有残留物は、ロードロックチャンバ122内の熱処理工程によって、又は他の適切な手段によって除去することができる。
図2には、本開示のいくつかの実施形態によるツインチャンバ処理システム、例えばツインチャンバ処理システム101の概略側面図が示されている。ツインチャンバ処理システム101は、処理チャンバ110、111を備え、処理チャンバ110、111は、例えば、第1の真空システム222、第1の真空システム222から流体的に独立している第2の真空システム224、共有ガスパネル204等のリソースを、図2に示すように共有している。第1の真空システム222は、第1の共有真空ポンプ202を備える。第2の真空システム224は、第2の共有真空ポンプ206を備える。
いくつかの実施形態において、処理システム100に接続された各ツインチャンバ処理システムは、同様に構成されてもよい。処理チャンバ110(例えば、第1の処理チャンバ)は第1の処理容積208を有し、第1の処理容積208は、その内部に配置された第1の基板支持体を備えて、第1の基板(図示せず)を支持する。ツインチャンバ処理システム101の処理チャンバ111(例えば、第2の処理チャンバ)は第2の処理容積214を備え、第2の処理容積214は、その内部に配置された第2の基板支持体を有して、第2の基板を支持する。
第1及び第2の処理容積208、214は互いに分離されて、それぞれの各処理チャンバ110、111内での実質的に独立した基板の処理が容易になってもよい。ツインチャンバ処理システム内の処理チャンバの処理容積が分離していることで、処理上の問題を都合よく低減又は排除できる。この処理上の問題は、マルチ基板処理システムに起因して生じることがあり、そこでは処理容積が処理中に流体的に接続されている。しかし、ツインチャンバ処理システムはそれ以上に有益に共有リソースを活用しており、この共有リソースのおかげで、システムの設置面積、ハードウェアの費用、ユーティリティの使用量とコスト、メンテナンス等の削減が容易になり、同時に基板処理量はより多くへと増進されている。例えば、共有ハードウェアには、処理前方ラインと粗動ポンプ、AC配電及びDC電源、冷却水の分配、チラー、マルチチャネルサーモコントローラ、ガスパネル、コントローラ等のうちの1つ以上が含まれることができる。
既存のマルチチャンバシステムは2つ以上のチャンバを備えており、これらのチャンバは、処理中にチャンバ内の処理圧力を維持するための第1のポンプと、チャンバが整備された後に第1のポンプの運転圧力まで処理チャンバの真空引きを行うための第2のポンプとに接続されている。しかし、チャンバは共通の配管を介して第1及び第2のポンプに接続されているので、整備のために第1のチャンバを停止させたときには、整備中ではない他の処理チャンバもまた停止させなければならない。他のチャンバも停止させるのは、通常大気圧で行われる整備中に発生したあらゆる粒子又は汚染物質が、第1のチャンバから他のチャンバへ(即ち、高圧チャンバから低圧チャンバへ)移動する可能性があるからであり、これは、両方のチャンバが第2のポンプに再び流体的に接続されるときに生じる。こうした理由から、本発明者らは、共有真空システムを開発した。この共有真空システムは、互いに流体的に独立している第1の真空システム222及び第2の真空システム224を備えている。
第1の共有真空ポンプ202は、それぞれ第1及び第2の弁218、220を介して第1及び第2の処理容積208、214に接続されている。同様に、第2の共有真空ポンプ206は、それぞれ第3及び第4の弁210、216を介して第1及び第2の処理容積208、214に接続されている。例えば、第2の共有真空ポンプ206を第1及び第2の処理容積208、214に接続させて、第1及び第2の処理容積208、214のうち、整備されているどちらか一方の圧力をクロスオーバー圧力レベル(例えば、約200ミリトール)未満に低下させ、その後、第1及び第2の弁218、220の一方を開いて、整備された処理容積に第1の共有真空ポンプ202を流体的に接続してもよい。例えば、クロスオーバー圧力レベルは、処理中に第1の共有真空ポンプ202によって提供される運転圧力より低い圧力であればよい。しかし、クロスオーバー圧力レベルは、第1の共有真空ポンプ202が運転を開始するために必要とされる圧力であればよい。
処理中、第3及び第4の弁210、216は閉位置にあり、第1及び第2の弁218、220は開位置にあって、第1及び第2の処理容積208、214は第1の共有真空ポンプ202のみに流体的に接続され、処理容積内の処理圧力を維持する。例えば、第1の処理チャンバ110の整備を行う必要があるときには、第1の弁218が閉じられ、それにより第1の処理チャンバ110を第1の真空システム222から分離する。他方、第2の処理チャンバ111は運転を継続することができる。第1の処理チャンバ110の整備が完了した後、第3の弁210が開かれて、第1の処理容積208が第2の共有真空ポンプ206に流体的に接続され、クロスオーバー圧力(例えば、約200ミリトール未満)まで第1の処理容積208の真空引きを行う。第4の弁216は閉じられており、第2の真空システム224が第1の真空システム222から流体的に独立しているので、第1の処理チャンバ110の整備中に発生した粒子又は汚染物質が第2の処理チャンバ111へ移動することは、第2の処理チャンバ111が第1の処理チャンバ110よりも低い圧力であることに起因しているのだが、有益にも防がれる。第1処理容積208がクロスオーバー圧力に達した後、第3の弁210は閉じられ、第1の弁218は開かれて、第1の処理容積208を第1の真空システム222に流体的に接続して、第1処理チャンバ110の運転継続が可能になる。
第1の共有真空ポンプ202は、第2の共有真空ポンプ206が接続されているすべてのチャンバ内の処理圧力を、処理中に維持することができる。いくつかの実施形態では、例えば、第1の共有真空ポンプ202は十分に大きい粗動ポンプであって、処理中に第1及び第2の処理チャンバ110、111内の処理圧力を維持できる。いくつかの実施形態では、第2の共有真空ポンプ206はターボ分子ポンプであってもよい。第1及び第2の真空システム222、224は、2つの処理チャンバに接続されたものとして上述され、かつ図2にも示されているが、第1及び第2の真空システム222、224は、図1に示す処理システム100の処理チャンバ110、111、112、132、120、128のすべてに選択的に接続されてもよい。いくつかの実施形態において、各処理チャンバを第1及び第2の真空システム222、224のそれぞれに接続する別々の弁の代わりに、三方弁を代替的に使用して、所与のチャンバを第1及び第2の真空システム222、224のどちらか一方と選択的に流体的に接続してもよい。
共有ガスパネル204を、処理チャンバ110、111のそれぞれに接続して、1つ以上の処理ガスを第1及び第2の処理容積208、214に供給してもよい。例えば、共有ガスパネルは1つ以上のガス源(図示せず)を備えて、例えば、その共有ガスパネルで各ガス源から各処理チャンバへ向かうガスを1つ以上の流量コントローラ、例えばマスフローコントローラ、流量比コントローラ等で計量してもよい。各処理容積に独立して、又は両方の処理容積に同時に、各ガス源が提供されて、例えば、両方の処理チャンバ110、111で同じ処理を同時に実行してもよい。本明細書では、「同時に」とは、2つの処理容積内で実行される処理は、少なくとも部分的に重複し、両方の基板が2つの処理容積に供給された後に開始し、そして、2つの処理容積のいずれかからいずれかの基板を取り出す前に終了することを意味する。
第1の三方弁226を、共有ガスパネル204と処理チャンバ110の第1の処理容積208との間に配置して、共有ガスパネル204から第1の処理容積208へ処理ガスを供給することができる。例えば、第1のシャワーヘッド228、又は処理ガスを処理チャンバに供給するために使用される任意の適切なガス入口から、処理ガスを処理チャンバ110に入れることができる。さらに、第1の三方弁226によって処理ガスを迂回させて、共有ガスパネル204から(例えば、第1の処理容積208をバイパスして)第2の共有真空ポンプ206に接続された第2の真空システム224へ送ってもよい。いくつかの実施形態では、測定装置250を、アクセス弁249を介して第2の真空システム224に選択的に接続して、所望の処理パラメータを測定してもよい。例えば、測定装置250は、所与のチャンバ内へ流入する処理ガスの流量を測定するために使用される、独立したマスフローシステム(IMFS)であってもよい。そのような実施形態では、三方弁によって処理ガスを第2の真空システム224へ迂回させ、所与のチャンバに接続する弁を開けてそのチャンバを第2の真空システム224に流体的に接続し、アクセス弁249を開けてIMFSによるチャンバ内へ流入する処理ガスの流量の測定を可能にしている。
第1のシャワーヘッド228は、第1のRF電源229が接続する電極を備えてもよく、例えば、それは第1の処理容積208内で処理ガスからプラズマを発生させるためのものである。あるいはまた、第1のRF電源229は、第1のシャワーヘッド228から隔てられた電極(図示せず)に接続されてもよく、又は、第1の処理容積208の外側に配置された1つ以上の誘導コイル(図示せず)に接続されてもよい。
第2の三方弁232を、共有ガスパネルと第2の処理チャンバ111の第2の処理容積214との間に配置して、共有ガスパネル204から第2の処理容積214へ処理ガスを供給することができる。例えば、第2のシャワーヘッド234、又は処理ガスを処理チャンバに供給するために使用される任意の適切なガス入口から、処理ガスを第2の処理チャンバ111に入れることができる。さらに、第2の三方弁232によって処理ガスを迂回させて、共有ガスパネル204から(例えば、第2の処理容積214をバイパスして)第2の共有真空ポンプ206に接続された第2の真空システム224へ送ってもよい。
第2のシャワーヘッド234は、第2のRF電源235が接続する電極を備えてもよく、例えば、それは第2の処理容積214内で処理ガスからプラズマを発生させるためのものである。あるいはまた、第2のRF電源235は、第2のシャワーヘッド234から隔てられた電極(図示せず)に接続されてもよく、又は、第2の処理容積部214の外側に配置された1つ以上の誘導コイル(図示せず)に接続されてもよい。
第1及び第2の三方弁226、232を処理終点に応じて操作してもよく、その処理終点は、例えば、処理チャンバ110内の処理終点を検出するための第1の終点検出器236及び第2の処理チャンバ111内の処理終点を検出するための第2の終点検出器238によって検出され得る。例えば、ツインチャンバ処理システム101の1つ以上の構成要素に接続された、例えばシステムコントローラ144又は個々のコントローラ(図示せず)等のコントローラを構成して、処理チャンバ110内で処理終点に到達したときには、第1の終点検出器236から第1の信号を受信し、第2の処理チャンバ111内で実行されている処理が処理終点に到達していなかった場合には、処理ガスを第2の真空システム224へ迂回させるように第1の三方弁226に指示を出してもよい。例えば、各処理チャンバ110、111において最初に処理が同期されてもよいが、その処理は各処理チャンバ110、111において別々の時刻に終了することがあり、それは、例えば、各処理チャンバ110、111内の処理中の基板、基板温度、プラズマ密度又は流量の小さな変化等に起因する。同様に、コントローラを構成して、第2の処理チャンバ111内で処理終点に到達したときには、第2の終点検出器238から第2の信号を受信し、処理チャンバ110内で実行されている処理が処理終点に到達していなかった場合には、処理ガスを第2の真空システム224へ迂回させるように第2の三方弁232に指示を出してもよい。
あるいはまた、例えば、コントローラは、第1の終点検出器236から、処理チャンバ110内で基板に対して実行されている処理が処理終点に到達した旨の第1の信号を受信すると、第1のRF電源229への電力を遮断して、第1の処理容積208内のプラズマを終了させる。さらに、処理終点に到達して第1のRF電源229が遮断された後も、処理ガスを第1の処理容積208に流入させ続けて、第1の三方弁226によって処理ガスを迂回させなくてもよい。第2の終点検出器238から第2の信号を受信したときの同様の代替実施形態を、第2の処理チャンバ111内で実行してもよい。さらに、第1又は第2の終点検出器236、238のいずれかから信号を受信した場合には、いくつかの実施形態では、コントローラは、両方のチャンバで処理終点が検出されたかどうかにかかわらず、両方のチャンバで処理を終了させてもよい。例えば、処理チャンバ110内で処理終点に到達した旨の第1の信号を第1の終点検出器236から受信した場合、第2の信号を第2の終点検出器238から受信していなくても、コントローラは両方の処理チャンバ110、111内の処理を終了させてもよい。あるいはまた、処理チャンバ110内で処理終点に到達したことを知らせる第1の信号を受信した場合、コントローラが、処理チャンバ111内でも同様に処理終点に到達したことを知らせる第2の信号を受信するまで、処理チャンバ110、111のいずれにおいても何の動作も起こさないことも可能である。
あるいはまた、両方の処理チャンバ110、111において処理が正確に同期される必要はなく、例えばチャンバ毎に、基板が適切な処理温度又は他の同様な処理条件に到達したときに開始してもよい。したがって、所与のチャンバ内で処理終点に到達したときには、三方弁によって処理ガスを第2の真空システム224へ迂回させて、処理チャンバ110、111から基板を取り出す前、又は更なる処理工程を開始する前に、隣接するチャンバ内で処理終点に到達するまで、この迂回を続ける。
共有ガスパネルは、さらに、処理チャンバ110、111をパージするためのガスを供給してもよい。例えば、通気ライン240を第1及び第2の処理容積208、214のそれぞれに選択的に(図示されているように)直接接続してもよい。例えば、パージガスには、窒素(N)、アルゴン(Ar)、ヘリウム(He)等が含まれてもよい。パージガスを、共有ガスパネル204と第1の処理容積208との間に配置された第1のパージ弁242を介して第1の処理容積208に選択的に供給してもよい。同様に、パージガスを、共有ガスパネル204と第2の処理容積214との間に配置された第2のパージ弁244を介して第2の処理容積214に選択的に供給してもよい。さらに、パージガスを利用して各処理チャンバ110、111を大気に通気する用途では、例えば弁等の通気口(図示せず)を各処理チャンバ110、111に設けて、各処理チャンバ110、111が他方のチャンバから独立して大気に通気されるようになっていてもよい。
図1に戻る。システムコントローラ144は処理システム100に接続されている。システムコントローラ144は、処理システム100の運転を制御しており、この制御は、処理システム100の処理チャンバ110、111、112、132、128、120の直接制御を用いているか、若しくは二者択一的に、処理チャンバ110、111、112、132、128、120並びに/又は各ツインチャンバ処理システム101、103、105及び処理システム100に関連する個々のコントローラ(図示せず)を制御することによって実行される。運転中には、システムコントローラ144によって、それぞれのチャンバ及びシステムコントローラ144からのデータ収集及びフィードバックが可能になって、処理システム100の性能を最適化する。
システムコントローラ144は、一般に、中央処理装置(CPU)138、メモリ140、及びサポート回路142を備えている。CPU138は、産業環境で使用可能な汎用コンピュータプロセッサの任意の形態のものであってよい。サポート回路142は、従来通りCPU138に接続されており、キャッシュ、クロック回路、入力/出力サブシステム、電源等を含んでもよい。ソフトウェアルーチンは、1つ以上のチャンバ処理、例えばツインチャンバ処理システムの各チャンバを減圧する、通気する、又はパージする等、を制御するための後述の方法300等である。CPU138でこのソフトウェアルーチンを実行すると、このCPU138は特定目的コンピュータ(コントローラ)144に変わることになる。ソフトウェアルーチンはまた、第2のコントローラ(図示せず)によって記憶及び/又は実行され、第2のコントローラは処理システム100から離れて配置されてもよい。
マルチチャンバ処理システムの処理チャンバのうちの1つを第1及び第2の真空システムのいずれか一方に選択的に接続するための方法300のフローチャートを図3に示すと共に、図2に示されるツインチャンバ処理システム101に関連させて、以下に説明する。例えば、第1及び第2の処理容積208、214は、共通の真空システム、例えば第1及び第2の真空システム222、224を共有しているので、各処理容積を、独立している第1及び第2の真空システム222、224のいずれか一方に選択的に流体的に接続して、他方の処理容積への逆流を、他方の処理容積の圧力の方が低い場合でも、防ぐことが可能である。第1の処理チャンバ110に関して、方法300を説明する。しかしながら、方法300は、第2の処理チャンバ111に関しても、第2の処理チャンバ111の整備を行う必要がある場合には、同一である。方法300は、第1及び第2の弁218、220が開位置にあり、第3及び第4の弁210、216が閉位置にある状態から始まるが、これによって、処理を行っている間の両方のチャンバは第1の共有真空ポンプ202に流体的に接続されている。
工程302で(即ち、第1の処理チャンバ110には整備が必要だと決定されたとき)、第1の弁218が閉じられ、それにより第1の処理チャンバ110を第1の真空システム222から分離する。工程304において、チャンバの整備が行われる。この整備には、例えば予防保全、修理等が含まれてもよい。第1処理チャンバ110の整備が完了した後、工程306において、第3の弁210が開かれ、第1の処理容積208を第2の真空システム224に流体的に接続する。第2の真空システム224は第2の共有真空ポンプ206に接続されている。工程308において、第2の共有真空ポンプ206は、第1の共有真空ポンプの運転圧力以下のクロスオーバー圧力(例えば、約200ミリトール未満)まで第1の処理容積208の真空引きを行う。第1の処理容積208内の圧力がクロスオーバー圧力になると、工程310で、第3の弁210が閉じられる。最後に、工程312で、第1の弁218が開かれ、第1の処理チャンバ110を第1の真空システム222に再び流体的に接続し、第1処理チャンバの運転継続が可能になる。
こうして、共有真空システムを有するマルチチャンバ処理システムのための方法及び装置が提供された。本発明のマルチチャンバ処理システムにより、有益にも、第1のチャンバの整備が可能になり、同時に残りのチャンバでは通常の運転が可能になっている。さらに、本発明のマルチチャンバ処理システムにより、有益にも、第1の処理チャンバが整備された後でも、残りの処理チャンバの汚染は防止される。
上記説明は本開示のいくつかの実施形態を対象としているが、本開示の他の更なる実施形態は、その基本的な範囲から逸脱することなく創作され得る。

Claims (15)

  1. 基板を処理するためのマルチチャンバ処理システムであって、
    第1の処理容積を有する第1の処理チャンバと、
    第2の処理容積を有する第2の処理チャンバと、
    第1及び第2の弁を介して第1及び第2の処理チャンバ、並びに第1の共有真空ポンプに接続されている第1の真空システムと、
    第3及び第4の弁を介して第1及び第2の処理チャンバ、並びに第2の共有真空ポンプに接続されている第2の真空システムとを備え、第2の真空システムは第1の真空システムから流体的に独立しているマルチチャンバ処理システム。
  2. アクセス弁を介して第2の真空システムに接続された測定装置を備え、測定装置は、第1及び第2の処理チャンバの処理パラメータを測定するように構成されている請求項1記載のマルチチャンバ処理システム。
  3. 第1及び第2の処理チャンバに接続され、1つ以上の処理ガスを第1及び第2の処理容積に供給するように構成されている共有ガスパネルを備え、処理パラメータは、第1及び第2の処理容積のどちらか一方への1つ以上の処理ガスの流量である請求項2記載のマルチチャンバ処理システム。
  4. 共有ガスパネルと第1の処理容積との間に配置され、1つ以上の処理ガスを共有ガスパネルから第1の処理容積又は測定装置のどちらか一方に選択的に供給する第1の三方弁と、
    共有ガスパネルと第2の処理容積との間に配置され、1つ以上の処理ガスを共有ガスパネルから第2の処理容積又は測定装置のどちらか一方に選択的に供給する第2の三方弁とを備える請求項3記載のマルチチャンバ処理システム。
  5. 第1の処理チャンバは、共有ガスパネルに流体的に接続された第1のシャワーヘッドを備え、第2の処理チャンバは、共有ガスパネルに流体的に接続された第2のシャワーヘッドを備える、請求項3記載のマルチチャンバ処理システム。
  6. 第1のシャワーヘッドは第1のRF電源に接続され、第2のシャワーヘッドは第2のRF電源に接続されている、請求項5記載のマルチチャンバ処理システム。
  7. 第1の処理チャンバは、第1の処理チャンバ内の処理終点を検出するように構成された第1の終点検出器を備え、第2の処理チャンバは、第2の処理チャンバ内の処理終点を検出するように構成された第2の終点検出器を備える、請求項1〜6のいずれか1項記載のマルチチャンバ処理システム。
  8. 第1の共有真空ポンプは粗動ポンプであり、第2の共有真空ポンプはターボ分子ポンプであり、粗動ポンプは、マルチチャンバ処理システムのすべてのチャンバ内の処理圧力を維持するように構成され、ターボ分子ポンプは、第1及び第2の処理容積のうち、整備されているどちらか一方を、粗動ポンプによって提供される処理圧力よりも低いクロスオーバー圧力を下回るまで減圧するように構成されている、請求項1〜6のいずれか1項記載のマルチチャンバ処理システム。
  9. クロスオーバー圧力が約200ミリトール未満である、請求項8記載のマルチチャンバ処理システム。
  10. マルチチャンバ処理システムのチャンバを第1の真空システム又は第2の真空システムのどちらか一方に選択的に接続する方法であって、
    チャンバを第1の真空システムに接続している第1の弁を閉じて、チャンバを第1の真空システムから分離する工程と、
    チャンバの整備を行う工程と、
    チャンバを第2の真空システムに接続している第3の弁を開く工程と、
    第2の真空システムに接続された第2の共有真空ポンプを使用して、クロスオーバー圧力までチャンバの真空引きを行う工程と、
    第3の弁を閉じて、チャンバを第2の真空システムから分離する工程と、
    第1の弁を開いて、チャンバを第1の真空システムに流体的に接続し、チャンバが運転を再開できるようにする工程とを含み、
    第1及び第2の真空システムは、互いに流体的に独立しており、マルチチャンバ処理システムのすべてのチャンバに接続されている方法。
  11. 第1の共有真空ポンプは第1の真空システムに接続されており、第2の共有真空ポンプは第2の真空システムに接続されている請求項10記載の方法。
  12. 第1の共有真空ポンプは粗動ポンプであり、第2の共有真空ポンプはターボ分子ポンプである請求項11記載の方法。
  13. クロスオーバー圧力が約200ミリトール未満である請求項11記載の方法。
  14. マルチチャンバ処理システムは、
    アクセス弁を介して第2の真空システムに接続された測定装置を備え、測定装置は、チャンバの処理パラメータを測定するように構成されている、請求項11〜13のいずれか1項記載の方法。
  15. マルチチャンバ処理システムは、
    チャンバに接続され、チャンバの処理容積に1つ以上の処理ガスを供給するように構成された共有ガスパネルを備え、処理パラメータは処理容積の1つへの1つ以上の処理ガスの流量である請求項14記載の方法。
JP2019511335A 2016-08-25 2017-08-24 共有真空システムを有するマルチチャンバ処理システム Pending JP2019525492A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662379698P 2016-08-25 2016-08-25
US62/379,698 2016-08-25
US15/683,967 US20180061679A1 (en) 2016-08-25 2017-08-23 Multi chamber processing system with shared vacuum system
US15/683,967 2017-08-23
PCT/US2017/048350 WO2018039419A1 (en) 2016-08-25 2017-08-24 Multi chamber processing system with shared vacuum systems

Publications (1)

Publication Number Publication Date
JP2019525492A true JP2019525492A (ja) 2019-09-05

Family

ID=61243358

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019511335A Pending JP2019525492A (ja) 2016-08-25 2017-08-24 共有真空システムを有するマルチチャンバ処理システム

Country Status (6)

Country Link
US (1) US20180061679A1 (ja)
JP (1) JP2019525492A (ja)
KR (1) KR20190033660A (ja)
CN (1) CN109643678A (ja)
TW (1) TW201812844A (ja)
WO (1) WO2018039419A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10458444B2 (en) * 2017-09-28 2019-10-29 Fisher Controls International Llc Optimized method for controlling position and crossover pressure in a double acting actuator
JP7472114B2 (ja) 2018-09-28 2024-04-22 ラム リサーチ コーポレーション 堆積副生成物の蓄積からの真空ポンプの保護
GB2584881B (en) * 2019-06-19 2022-01-05 Edwards Vacuum Llc Multiple vacuum chamber exhaust system and method of evacuating multiple chambers
CN110993532B (zh) * 2019-12-03 2022-08-16 拓荆科技股份有限公司 用于半导体制造的化学品加热装置
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR102329646B1 (ko) 2021-05-10 2021-11-19 심경식 다수 샤워헤드의 전력 및 가스 공급구조를 구비한 기판처리장치

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3776467B2 (ja) * 1994-06-28 2006-05-17 株式会社日立製作所 排気系ネットワーク
KR101118914B1 (ko) * 2004-03-08 2012-02-27 주성엔지니어링(주) 진공펌핑 시스템 및 방법과 이를 이용하는 공정장치
US20080006650A1 (en) * 2006-06-27 2008-01-10 Applied Materials, Inc. Method and apparatus for multi-chamber exhaust control
JP2010225957A (ja) * 2009-03-25 2010-10-07 Sokudo Co Ltd 基板処理装置およびそれを用いた基板処理方法
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8097088B1 (en) * 2010-10-07 2012-01-17 Applied Materials, Inc. Methods for processing substrates in a dual chamber processing system having shared resources
JP6034311B2 (ja) * 2011-03-01 2016-11-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共有ポンプを備えた真空チャンバ

Also Published As

Publication number Publication date
TW201812844A (zh) 2018-04-01
US20180061679A1 (en) 2018-03-01
WO2018039419A1 (en) 2018-03-01
CN109643678A (zh) 2019-04-16
KR20190033660A (ko) 2019-03-29

Similar Documents

Publication Publication Date Title
KR101570657B1 (ko) 공유 진공 펌프를 구비한 트윈 챔버 프로세싱 시스템
JP2019525492A (ja) 共有真空システムを有するマルチチャンバ処理システム
US8496756B2 (en) Methods for processing substrates in process systems having shared resources
US20110265951A1 (en) Twin chamber processing system
KR102507943B1 (ko) Efem 가스 재순환
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
US10672591B2 (en) Apparatus for removing particles from a twin chamber processing system
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
US8473247B2 (en) Methods for monitoring processing equipment
US8029874B2 (en) Plasma processing apparatus and method for venting the same to atmosphere
JP2006295099A (ja) 真空装置、そのリークレート測定方法並びにリークレート測定に用いるプログラムおよび記憶媒体
US11551942B2 (en) Methods and apparatus for cleaning a substrate after processing
JP2003229417A (ja) 真空処理装置及びその制御方法
WO2023081143A2 (en) Substrate processing tool with rapid and selective control of partial pressure of water vapor and oxygen
JP2024043273A (ja) 排気システム、基板処理装置及び半導体装置の製造方法
JP2010098298A (ja) 基板処理装置
KR20000005102A (ko) 진공 처리장치 내 압력 제어 장치 및 방법.