JP2007043205A - Cvdチャンバのクリーニング方法 - Google Patents

Cvdチャンバのクリーニング方法 Download PDF

Info

Publication number
JP2007043205A
JP2007043205A JP2006300670A JP2006300670A JP2007043205A JP 2007043205 A JP2007043205 A JP 2007043205A JP 2006300670 A JP2006300670 A JP 2006300670A JP 2006300670 A JP2006300670 A JP 2006300670A JP 2007043205 A JP2007043205 A JP 2007043205A
Authority
JP
Japan
Prior art keywords
gas
reaction chamber
chamber
plasma discharge
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006300670A
Other languages
English (en)
Other versions
JP4417362B2 (ja
Inventor
Kiyoshi Sato
清志 佐藤
Kazuo Sato
和男 佐藤
Hideaki Fukuda
秀明 福田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2007043205A publication Critical patent/JP2007043205A/ja
Application granted granted Critical
Publication of JP4417362B2 publication Critical patent/JP4417362B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】不純物汚染を無くし、生産性を向上させる現場プラズマクリーニングを備えたプラズマCVD装置及び方法を与える。
【解決手段】遠隔プラズマ放電チャンバを通じて与えられるクリーニングガスを使って化学気相成長(CVD)反応チャンバをクリーニングするための方法は、1500Wから3000Wで300kHzから500kHzの高周波エネルギーを印加することによって遠隔プラズマ放電チャンバ内のクリーニングガスを解離する工程と、配管を通じて遠隔プラズマ放電チャンバから反応チャンバへ0.5slmから1.5slmの流量で活性種を供給する工程と、から成る。
【選択図】図1

Description

本発明は、セルフクリーニング装置を具備したCVD(化学気相成長)装置に関する。特に、発明は遠隔的に生成された活性種を使ってデポジションチャンバの内側を清浄にする方法に関する。
従来CVD装置は酸化珪素、窒化珪素、アモルファスカーボン若しくはベンゼン環を含むポリマーのような絶縁膜、タングステンシリサイド、窒化チタン若しくはアルミニウム合金のような導体膜、及びPZT(PbZr1-xTixO3)若しくはBST(BaxSr1-xTiO3)を含む高誘電膜をシリコン基板若しくはガラス基板上に形成するのに使用されてきた。
これらの膜を形成するために、さまざまな成分を有する反応ガス若しくは第2反応ガスがデポジションチャンバ内に供給される。これらのガスはプラズマエネルギーを受け取ることによって化学反応を生じさせ、所望の薄膜が半導体基板上に形成される。反応チャンバ内で、化学反応によって同様に生成された薄膜はその内壁及びウエハ支持体の表面に付着する。これらの付着物質は薄膜形成が繰り返されるごとに徐々に蓄積される。その後、内壁及び支持体表面から剥がれて、これらの付着物質はしばしば反応チャンバ内を浮遊する。これは製造された半導体回路に欠陥をもたらす不純物汚染を引き起こす。
反応チャンバの内壁に付着している汚染物を除去するために、反応チャンバが動作中にその内部を清浄にする現場(in situ)クリーニングが有効である。この方法は、付着物質のタイプに従って選択されるクリーニングガスを半導体チャンバ内に送り、付着物質を気体材料に分解することによって付着物質を除去するものである。例えば、もし酸化珪素若しくは窒化珪素またはタングステン若しくはその窒化物若しくは珪化物が付着していれば、CF4,C2F6,C3F8,またはNF3がクリーニングガスとして使用される。この場合、フッ素原子の活性種(フッ素ラジカル)若しくはフッ素含有活性種は反応チャンバ内壁に付着する物質を分解し、不純物は気相中で除去される。
プラズマCVD装置の場合において、膜形成用に使用されるプラズマ励起装置はまたクリーニングガスの活性にも使用されるため、クリーニングガスに印加される高無線周波数(RF)電力によって大きなイオン衝撃が電極間で生じる。結果として、電極の表面はダメージを受け、表面層ははげて不純物汚染を引き起こしてしまう。破損した部品は周期的に交換する必要があり、そのため運転コストが増加する。
イオン衝撃によって引き起こされるこれらの欠点を解決するために、遠隔プラズマクリーニングが開発された。ここに参考文献として組み込む1998年8月4日に発行された米国特許第5,788,778号及び1998年12月1日に発行された米国特許第5,844,195号において、NF3がクリーニングガスとして使用され、反応チャンバと異なりそれから離れた第2プラズマ放電チャンバ内でNF3を活性化させるプラズマ励起がマイクロ波を使って実行されるところの方法が開示されている。
米国特許第5,788,778号 米国特許第5,844,195号
この方法にしたがって、流量制御されたNF3は第2プラズマ放電チャンバ内に導入され、それは導波管を通じてマイクロ波オシレータからプラズマ放電チャンバに供給される2.45GHzのマイクロ波によって解離されかつ活性化され、フッ素活性種が生成される。このとき、マイクロ波プラズマ放電を有効に達成するために、圧力を調整するよう第2プラズマ反応チャンバと反応チャンバとの間にはバルブが設けられ、また第2プラズマ反応チャンバは指定された圧力に維持される。生成されたフッ素活性種は導管を通じてデポジションチャンバ内に導入され、それは反応チャンバの内壁上に付着した物質を分解しかつ除去する。
ここに参考文献として組込む1998年8月4日に発行された米国特許第5,788,799号において、反応チャンバ内にフッ素活性種を導入する導管として、ステンレススチールよりアルミニウムが好適であり、ポリテトラフルオロエチレン(PTFE)のようなテフロン(登録商標)材料が最も好適であると開示されている。
ここに参考文献として組み込む1998年12月1日発行の米国特許第5,884,195号において、第2プラズマ放電チャンバ内のクリーニングガスの反応に関して、クリーニングガスは反応チャンバ内の無線周波数電力及び真電気放電を使ってさらに補足的に活性化され、不所望な粒子を除去するべく第2反応チャンバと反応チャンバとの間にフィルタが設けられることが開示されている。この技術は米国特許第5,788,799号の教示にも反映されている。
上記遠隔プラズマクリーニング法はイオン衝撃によって引き起こされる問題を緩和したが、これらの方法には改良すべき点が残っている。
本発明のさまざまな実施例の中で、ひとつの実施例はダメージに強い材料から成る遠隔プラズマ放電チャンバを与え、同時にイオン衝撃のない反応チャンバクリーニングを与えるものである。
本発明の他の実施例は、遠隔プラズマ放電チャンバにおいてプラズマ点火が容易かつ信頼できるところのCVD装置を与えるものである。
本発明のさらに他の実施例は、活性化クリーニングガスの供給が停止された後管内に残留したガスを迅速に排気するCVD装置を与えるものである。
さらに本発明の他の実施例は、遠隔プラズマ放電チャンバ内で活性化されたフッ素種の量を維持することによって反応チャンバへクリーニングガスを供給するプラズマCVD装置を与えるものである。
すなわち、本発明に従うプラズマCVD装置は以下の実施例を含む。
好適実施例のCVD装置は、反応チャンバ、該反応チャンバから離れて与えられる遠隔プラズマ放電チャンバ、及び反応チャンバを遠隔プラズマ放電チャンバに連結する配管から成る。遠隔プラズマ放電チャンバはプラズマ放電エネルギーによってクリーニングガスを活性化する。活性化されたクリーニングガスは配管を通じて反応チャンバの内部に導入され、膜形成の結果として反応チャンバの内側に付着した固体物質を気体物質に変化させ、それによって反応チャンバの内側をクリーニングする。装置は以下の少なくともひとつによって特長付けられる。
(a)遠隔プラズマ放電チャンバは予め選択された周波数の無線周波数発振出力エネルギーを使って活性種を生成し、(b)配管は活性種によって侵食されない材料から成り、(c)該配管はスルーフロータイプのバルブを具備する。
ひとつの実施例において、装置はさらに被処理体を支持するための反応チャンバ内に与えられた支持体、及び反応チャンバ内で該支持体に面して配置されたガス放出プレートから成る。該プレートは被処理体上へ膜を形成するべく被処理体へ反応ガスを均一に供給し、活性化されたクリーニングガスはガス放出プレートに与えられた穴から反応チャンバ内に配管を通じて供給される。
上記(a)に関して、実施例では、予め選択された周波数は300kHz〜500kHzである。実施例において、活性種はフッ素活性種である。上記(b)に関して、実施例では、配管の内面はフッ素不動態化されたステンレススチール、アルミニウム若しくはアルミニウム合金から成る。上記(c)に関して、実施例では、全開時にバルブの開口サイズは実質的に配管の内径に等しく、かつ全開時にバルブは配管の内面に関して突起部を有しない。すなわち、バルブは、全開時にバルブを横切る圧力低下が好適には約0.25Torrより小さく(若しくは入口圧力の約5%以下)、より好適には約0.1Torrより小さく(若しくは入口圧力の約1%以下)、最も好適には実質的に圧力の損失が生じないような開口を有する。上記において、好適には配管はバルブの付近で直線である。最も好適には、これら3つのすべての特長が効果的なセルフクリーニングCVDリアクタを製造するために組み合わされる。
(a)の結果として、以下の利点が実現される。例えば、無線周波数(例えば400kHz)発振出力の使用により陽極酸化されたアルミニウム合金から遠隔プラズマ放電チャンバを製造することができる。したがって、従来のマイクロ波出力が使用される際に要求される、サファイア若しくは石英を使用する必要がない。処理中のダメージの危険及びフッ素活性種消費の問題はそれによって減少される。加えて、クリーニング時のイオン衝撃による電極のダメージ及び電極表面の劣化はうまく防止される。さらに、複雑なチューニング回路が不要であり、遠隔プラズマ放電チャンバの小型化及び低コスト化が実現される。さらにまた、粉末状のフッ化アルミニウムが電極表面に吸着するところの現象が減少するか若しくは除かれ及び装置メンテナンスのための装置停止時間がかなり短縮される。結果として、生産性は改善される。
(b)の結果として、以下の利点が実現される。PFAのような樹脂材料の替わりに配管及びバルブの内側面に対してフッ素活性種に不活性な材料を使用することで配管若しくはバルブの内側面へのフッ素活性種若しくはフッ素ガスの吸着は除かれる。したがって、クリーニングが完了した後に配管及びバルブの内側面から放出されるフッ素活性種若しくはフッ化ガスの発生及び遠隔プラズマ放電チャンバ内の残留は減少するか若しくは除去される。したがって、プラズマ点火失敗の発生は制御され得る。さらに、フッ素含有ガスの供給が停止されると、フッ素活性種は配管及び遠隔プラズマ放電チャンバからすぐに放出される。フッ素吸着の減少は反応チャンバに導入されるフッ素活性種の量を増加し、それによって活性種の活性を維持しかつクリーニング効果を改善する。
(c)の結果として、以下の利点が実現される。大きな内径を有する直線方向の配管及び遠隔プラズマ放電チャンバと反応チャンバとの間の流れを制限しないバルブの使用によって、配管表面及びバルブ内構造物との衝突が減少したためフッ素活性種の非活性化(再結合)が減少される。したがって、遠隔プラズマ放電チャンバへ3,000W以下の無線周波数電力を印加することによって、2ミクロン/分以上の高速クリーニングが可能となる。さらに、衝突の減少はまたフッ素活性種が非活性化されるときに生成される熱エネルギーを最小化し、したがって配管及びバルブの過熱を減少させる。Oリング及びその他の部品への熱ダメージ及び粒子の結果的生成もまた減少され若しくは除去される。傷ついた部品の交換周期は減少し装置の運転コストは減少され同時に装置の生産性は向上する。
当業者は本発明の開示によって、各々の特長(a)、(b)及び(c)はそれ自身有利であるが、それらの組み合わせが有利な効果を互いに強化しあうということを容易に認識するものである。
従来の遠隔プラズマソース技術の問題
先に議論された従来の遠隔プラズマソース技術は以下の問題を引き起こす。
まず、マイクロ波プラズマを使用するために、サファイア及び石英のようなマイクロ波透過材料を使用して第2のプラズマ放電チャンバを製造する必要がある。そのような材料は特にプラズマ生成の熱応力の下で簡単に壊れやすく、また金属のコンジット若しくは配管との接続が難しい。また、石英はフッ素活性種によって簡単にエッチングされるため定期的にそれを交換する必要がある。サファイアはフッ素活性種によるエッチングに対して耐性があるが、サファイアは高価であり、したがって装置のコストを増加させる。
また、反応チャンバに結合する配管及び遠隔プラズマ放電チャンバに使用される材料に関して、以下の問題が生じる。
フッ素活性種を反応チャンバ内に導入する配管の内面は典型的にテフロン(登録商標)若しくはそれに類似した材料から作られる。しかし、テフロン(登録商標)はフッ化物及びNF3ガスを解離させる生成物を容易に吸着若しくは吸収する。配管の内面に吸収されたNF3ガスからの解離若しくは再結合プラズマ生成物は、クリーニングガスの供給が停止された後に配管の内面から解放される。それは配管の中に残り、その残留ガスは反応チャンバへ拡散する。反応チャンバが第2プラズマ放電チャンバから成るとき、解放されたガスはプラズマ放電の点火不良を引き起こす。さらにまた、薄膜形成用の反応ガスが残留ガスの残る領域内に流れると、化学反応が生じ、不所望の膜、粒子若しくは粉末が配管内に生成される。これらの生成物は配管内に蓄積し、後に反応チャンバ内に流れ、半導体基板表面に不純物汚染を引き起こす。これを防止するために、長時間の間ヘリウム若しくはアルゴンガスを使って配管の内部をパージすることによって残留ガスを除去することが可能である。しかし、この処理は半導体処理装置の生産性をかなり低下させる。
さらにまた、フッ素活性種を反応チャンバ内に導入する配管の内面がステンレススチール、アルミニウム、若しくはアルミニウム合金から作られるなら、配管内面とフッ素活性種との間の反応によってフッ化物が形成され、反応チャンバ内に導入されるフッ素活性種の量が減少する。結果として、反応チャンバのクリーニング時間は増加し装置の生産性は低下する。
反応チャンバに結合する配管及び遠隔プラズマ放電チャンバの構造若しくは機能に関して、以下の問題が生じる。
より速いクリーニング速度を実現するために、高電力マイクロ波エネルギーがプラズマを生成するのに使用される。しかし、そのように付勢されたプラズマによって遠隔プラズマチャンバは劣化し、下流の反応チャンバを汚染する粒子が生成される。これらの不所望な粒子を反応チャンバへ流すのを防止するためにデポジションチャンバと反応チャンバとの間にフィルタを設けることは、後で説明されるフッ素活性種の非活性化若しくは再結合のためにクリーニング速度を低下させる。したがって、クリーニング速度を速める第1の目的は否定される。
さらに、遠隔プラズマ放電チャンバ内で、フッ素活性種を生成するべく高効率でNF3を解離するために、遠隔プラズマ放電チャンバ内で約4〜20Torrの圧力が要求される。この圧力を達成するために、圧力を調整するためのバルブが遠隔プラズマ放電チャンバと下流の反応チャンバとの間に設けられる。遠隔プラズマチャンバ内の圧力はバルブを部分的に開き流路内にある障害物を残したままにすることによって増加される。しかし、圧力を上げるためにバルブを使って流れを制限することは、フッ素活性種の不動態化及びプラズマバーニングのような別の不都合を作り出す。遠隔プラズマ放電チャンバ内で生成されたフッ素活性種は金属面に触れることで非活性化される。コンジットを通じて薄膜形成が実行される反応チャンバ内に導入されている間に、生成されたフッ素活性種は流路を狭めることで流れを制限するバルブに衝突することによって分子に戻るか、バルブ面と反応して非活性化される。結果として、フッ素活性種の量は減少する。同様に、もし第2プラズマ放電チャンバから反応チャンバへの配管が非常に長いか若しくは鋭角的に曲がっていれば、配管面と接触するより高い確率のために若しくは曲がった配管の角部分と衝突することによってフッ素活性種の量は減少する。減少したフッ素活性種は下流のデポジションチャンバ内でクリーニング速度を低下させ不十分なクリーニング生じさせる。
遠隔プラズマ放電チャンバ内で生成されたフッ素活性種は、金属面と接触して活性を失うとき大量の熱エネルギーを放射する。この熱エネルギーのために、接触面の温度は上昇する。第2プラズマ放電チャンバを反応チャンバへ結合する配管及び配管に設置されたバルブに対して、フッ素含有ゴム及び他の材料から成るOリングが外部環境から内側をシールするのに典型的に使用される。フッ素活性種との接触によって生じる上記過熱はOリングを破壊する。特に、圧力調整用の上記バルブ内でOリングが破壊される危険がある。もしOリングが破損すると、配管の気密性は維持されない。結果として、外部空気が反応チャンバ内に侵入するために不純物汚染が起こり、フッ素活性種のような人間に有害なガスの漏れが生じる。劣化したOリングは配管の中を流れ反応チャンバを含む半導体処理装置に対し内部汚染を引き起こす。また、もしバルブに対する軸シールのような配管内で可動部分に対して使用されるフッ素含有ゴム(例えばVITONまたはKarlez)が過熱されると、それは劣化し、その弾性を失い、部品の移動を妨害する。
破損した部品を周期的に交換する必要があるがこれは運転コストを増加させる。言うまでも無く、部品交換は装置の運転時間を減少させ生産性を低下させる。
一方、もし停止機能を有するバルブが遠隔プラズマ放電チャンバと下流の反応チャンバとの間に与えられなければ、反応チャンバ内で基板上に薄膜を形成する際使用される反応ガスはデポジションチャンバから遠隔プラズマ放電チャンバへ逆流若しくは拡散する。反応ガスは不完全な反応のため粉末状態で遠隔プラズマ放電チャンバ表面上に固体物質を形成する。もしこれらの粉末状の固体物質が膜形成時に反応チャンバ内に流入したら、粒子は基板を汚染する。さらに、遠隔プラズマ放電チャンバの内面に吸着された反応ガスは遠隔プラズマ放電チャンバ内のプラズマ放電に必要な点火電気ポテンシャルを上昇させ。もし、点火電気ポテンシャル値が遠隔プラズマ放電チャンバに対する設計値より高くなると、プラズマ放電が実行されない可能性がある。反応ガスの逆流及び拡散を防止するために、遠隔プラズマ放電チャンバは反応チャンバから好ましくシールすることができる。しかし、これは反応チャンバに到達するフッ素活性種の明白な減少を生じさせ、満足なクリーニング速度及び性能は得られない。
好適実施例のCVD装置の基本的構造
本発明に従うCVD装置は以下の実施例を含みかつ上記問題を解決する。
CVD装置は、反応チャンバ、反応チャンバから離れて与えられた(すなわち、遠隔プラズマソース)プラズマ放電チャンバ、及び反応チャンバと遠隔プラズマ放電チャンバを連結する配管から成る。遠隔プラズマ放電チャンバはプラズマ放電エネルギーによってクリーニングガスを活性化する。活性化されたクリーニングガスは配管を通じて反応チャンバの内部に導入され、膜形成の結果として反応チャンバの内側に付着した固体物質をエッチングし、それによって反応チャンバの内側をクリーニングする。装置は以下の少なくとも一つによって特長付けられる。
(a)遠隔プラズマ放電チャンバは予め選択された周波数の無線周波数発振出力エネルギーを使って活性種を生成し、(b)配管は活性種によって侵食されない材料から成り、(c)配管はスルーフロータイプのバルブを備える。
いくつかの実施例において、装置はさらに被処理体を支持する反応チャンバ内に与えられる支持体、被処理体上に膜を形成するべく被処理体に反応ガスを均一に供給するために反応チャンバ内で支持体に面した位置に与えられるガス放出プレートから成り、活性化されたクリーニングガスはガス放出プレート上に与えられた穴から反応チャンバ内へ配管を通って供給される。
他の実施例において、装置は冷壁リアクタを通過して流れる単一路、水平、層流用に形成される。装置はさらに、被処理体を支持しかつ透明チャンバ壁を通じて与えられる放射熱を吸収する反応チャンバ内に与えられるサセプタから成る。活性化されたクリーニングガスはサセプタの上流のチャンバ壁の入口を通じて供給される。
(a)、(b)及び(c)の各々は互いに独立に採用され得るが、例えば(a)及び(b)の組み合わせは以下の特長のいくつかを含む。
予め選択された周波数は約300kHz〜500kHzである。
活性種はフッ素活性種である。
配管の内側はフッ素不動態化されたステンレススチール、アルミニウム若しくはアルミニウム合金から成る。
CVD装置はクリーニングガスと別に反応チャンバ内に反応ガスを導入するガスコンジットを含む。
CVD装置は現場プラズマ生成用の構造を有するプラズマCVDとして形成される。
ガスコンジットの一端は反応チャンバと連結する。
ガスコンジットの一端は配管と連結する。
CVD装置は遠隔プラズマ放電チャンバと反応チャンバとの間の配管の所定の位置にバルブを有する。
該バルブの内側はフッ素不動態化アルミニウムから成る。
ガスコンジットの一端はバルブと反応チャンバとの間の所定の位置で配管と連結する。
例えば、(a)及び(c)の組み合わせは以下の特長を有する。
予め選択された周波数は300kHz〜500kHzである。
活性種はフッ素活性種である。
配管及びバルブは所定の温度で加熱される。
反応ガスを前記反応チャンバ内に導入するためのガスコンジットが含まれる。
ガスコンジットの一端は反応チャンバと連結する。
ガスコンジットの一端は配管と連結する。
(a)、(b)及び(c)の組み合わせは有利な効果を強化するのに使用される。その特長の利点は上述された。
実施例1
主たる構造
第1の実施例は図1を参照して説明される。
図1はこの実施例に従うプラズマCVD装置の断面略示図である。半導体ウエハ9若しくは他の基板上に薄膜を形成するために使用されるプラズマCVD装置1は反応チャンバ2、半導体ウエハ9を支持するための反応チャンバ内に与えられる支持体3、支持体3に面して配置されかつ半導体ウエハ9上に反応ガスを均一に噴射するのに使用されるシャワーヘッド4、反応チャンバ2から反応ガス及び副生成物を排気するための出口20、及び遠隔プラズマ放電チャンバ13から成る。遠隔プラズマ放電チャンバ13は反応チャンバ2から離れて配置され、配管14及びバルブ15を介してシャワーヘッド4に連結する。遠隔プラズマ放電チャンバ13は、それが指定された周波数の高周波数発振出力エネルギーを使って活性種を生成し、配管14が該活性種によって侵食されない材料から作られるところの特長を有する。
反応チャンバ2の一方で、開口19が形成され、反応チャンバ2はゲートバルブ18を通じて半導体ウエハ若しくは他の基板を搬入し及び搬出するのに転送チャンバ(図示せず)と結合される。
反応チャンバ2内に与えられ、半導体ウエハ9を載置するために使用される支持体3は、陽極酸化アルミニウム若しくはアルミニウム合金から成り、プラズマ放電の電極の一方を構成するべく接地27される。したがって図示された実施例の反応チャンバ2は現場(チャンバ内)プラズマ生成用に形成されたプラズマCVDチャンバである。図示された支持体3の内部に、リング状加熱エレメント26が埋め込まれ、半導体ウエハの温度は温度制御器(図示せず)を使って所定の温度に制御される。支持体3は支持ピストン29を通じて上下に支持体3を移動する駆動機構25に結合される。
反応チャンバ2内でシャワーヘッド4は支持体3に面した位置に与えられる。シャワーヘッド4において、反応ガスを半導体ウエハ9上に噴射するべく数千の細孔が与えられる。シャワーヘッド4はマッチング回路10を介して高周波発振機8に電気的に結合され、もう一つのプラズマ放電の電極を構成する。薄膜形成用に使用される反応ガスをシャワーヘッドから導入するために、反応ガスコンジット11は配管14に結合される。ガスコンジット11の数は1つに限定されない。反応ガスのタイプに従って、必要数のガスコンジットが取り付けられる。ガスコンジット11の一端は反応ガスを流入させるためのガス入口ポート5を構成し、また他端はシャワーヘッドへガスが流出するための反応ガス出口ポート7を構成する。反応ガスコンジット11の中央に、質量流量制御器(図示せず)及びバルブ6が配置される。
反応チャンバ2の側壁には、出口20が与えられる。該出口20は配管17を通じて真空排気ポンプ(図示せず)に結合される。出口20と真空ポンプとの間に、コンダクタンス制御バルブ21が反応チャンバ2内の圧力を調整するために与えられる。コンダクタンス制御バルブ21は外部調整器28に電気的に接続されている。
付加的に、圧力計28aが好適に反応チャンバ2内の圧力を計測するために与えられる。この圧力計28aは調整器28に電気的に接続されている。
遠隔プラズマ放電チャンバ
この実施例に従って遠隔プラズマ放電チャンバ13が反応チャンバ2から離れて与えられる。遠隔プラズマ放電チャンバ13は陽極酸化されたアルミニウム合金から成る。遠隔プラズマ放電チャンバ13は配管14を通じて反応チャンバ内でシャワーヘッド4に連結される。配管14の中央に、バルブ15が与えられる。好適には配管14の内面はフッ素不動態化ステンレススチールから成るが、フッ素不動態化アルミニウム若しくはアルミニウム合金が使用されてもよい。同様に、バルブ15の内面もフッ素不動態化アルミニウム合金から成る。配管14の一端はクリーニングガスを流入させるためのクリーニングガス入口ポート12を構成し、また他端はクリーニングガスをシャワーヘッド4に導入するためのクリーニングガス出口ポート16を構成する。
クリーニングガス入口ポート12から流入するクリーニングガスとして、窒素フッ化物、炭素フッ化物及び塩素フッ化物のようなフッ素含有ガス、窒素若しくは炭素フッ化物の混合ガス、または上記ガスと酸素、窒素若しくは不活性ガスの混合ガスが使用される。特定的に、NF3、ClF3、CF4、C2F6、C3F8と酸素の混合ガス、NF3と窒素の混合ガス、NF3と希ガスとの混合ガスが使用される。希ガスとして、ヘリウム、アルゴン、ネオン、キセノン若しくはクリプトンが使用される。
観測窓23は好適には反応チャンバ2の側壁に与えられ、また荷電結合素子(CCD)検出器22が観測窓に取り付けられる。さらにまた、モニター24がCCD検出器22に取り付けられる。観測窓23は好適にはサファイアから成るが、酸化アルミニウムが使用されてもよい。CCD検出器に加え、光電子増倍管も光電変換器が使用されてもよい。
プラズマCVD動作
この実施例に従ってプラズマCVD装置の動作が説明される。動作は大雑把に2つのシーケンスに分割される。(1)半導体ウエハ9上に薄膜を形成する薄膜形成シーケンス、及び(2)反応チャンバの内側面をクリーニングするクリーニングシーケンスである。薄膜形成シーケンスは例として半導体ウエハ9上にシリコン酸化膜を形成するよう示される。
まず、反応チャンバ2の内部が外部真空ポンプ(図示せず)によって出口20を通じて真空排気される。反応チャンバ内の圧力はコンダクタンス制御バルブ21の開度によって1Torrから8Torrの範囲に調整される。
次に、加熱エレメント26によって加熱された支持体3は温度制御器(図示せず)を使って指定された温度、好適には300℃〜420℃(572°F〜788°F)に半導体ウエハ9を制御する。
続いて、質量流量制御器(図示せず)によって流れが制御された反応ガスSiH4、NH3及びN2は反応ガス入口ポート5から流入し、バルブ6を通過した後ガス出口ポートを通ってシャワーヘッド4へ導入される。図2の実施例において、以下に詳細に説明されるように、反応ガスSiH4及びNH3が反応ガス入口ポート5から流入し、N2のみがクリーニングガス入口ポート12から流入する。この場合、たとえバルブ15が与えられなくても、SiH4及びNH3ガスの遠隔プラズマ放電チャンバ13内への流入は入口ポート12から流入するN2ガスによって妨げられる。混合された反応ガスはシャワーヘッド4の下側に形成された細孔から半導体ウエハ9に向かって均一に噴射される。
13.56MHzの高周波電力若しくは13.56MHz及び430kHzの混合電力が高周波発信機8によってシャワーヘッド4へ印加される。結果として、反応チャンバ2内で現場プラズマ生成用の一つの電極として働くシャワーヘッドと、他の電極として働く支持体3との間の空間にプラズマ反応領域が形成される。その領域内の反応ガスの分子はプラズマエネルギーによって活性化されかつイオン化される。イオン化された分子は半導体ウエハ9上で化学反応を生じさせ、窒化珪素が形成される。
薄膜形成処理が終了すると、バルブ6が閉じられ同時にゲートバルブ18が開かれる。処理済みの半導体ウエハ9は開口19を通じて自動転送ロボット(図示せず)によって隣接する転送チャンバ(図示せず)へ搬出される。反応チャンバ2が真空排気された後、未処理の半導体ウエハが転送チャンバから搬入され、ゲートバルブが閉じられ、上記シーケンスが繰り返される。
薄膜形成シーケンスが連続して実行される間に、不所望の生成物が反応チャンバ2の内側壁及び支持体の表面及び側面に付着する。不所望の生成物は徐々に蓄積し、粒子汚染を引き起こすべく反応チャンバ内で剥がれ落ち、浮遊する。結果として、定期的(例えば、ウエハがアンロードされ次のウエハがロードされる間の各薄膜形成処理の後)に反応チャンバ2の内側を清浄にする必要がある。以下に、反応チャンバ2の内壁に付着した窒化珪素を除去するためのクリーニングシーケンスが説明される。
クリーニング動作
クリーニングガスとして使用されるNF3とアルゴンの混合ガスはクリーニングガス入口ポート12へ指定された流量で与えられ、遠隔プラズマ放電チャンバ13内に導入される。フッ素含有ガスに対する好適流量は約0.1slmと5.0slmの間であり、キャリアガスに対する好適流量は約0.5slmと10slmの間である。好ましくは、不活性キャリアガスはフッ素含有ガスの約2から3倍である。遠隔プラズマ放電チャンバ13内で300kHzから500kHzの高周波出力が1000Wから5000Wの電力でクリーニングガスへ印加される。このエネルギーをもって、クリーニングガスは解離されかつ与えられた効率で活性化され、フッ素活性種が生成される。
生成されたフッ素活性種は内側がフッ素不動態化された配管14及びバルブ15を通じてシャワーヘッドに導入される。シャワーヘッド4から反応チャンバ2内へ噴射されたフッ素活性種は、反応チャンバ2の内壁及び他の面に付着した固体窒化珪素と化学反応を引き起こし、固体付着物を気体物質に変化させる。結果として、反応チャンバ内のガス分子の数は増加するが反応チャンバ内の圧力は、圧力計28aによって測定された反応チャンバ内の圧力に応答してリアルタイムでコンダクタンス制御バルブ21の開口サイズ若しくは角度を制御する制御器28によって特定の値に常に維持される。
最初にフッ素活性種が反応チャンバ2に流入すると、フッ素活性種と固体窒化珪素は激しく反応し光を放出する。この光の放出は観測窓23を通じてCCD検出器によって検出されモニター24によって確認される。時間が経過するに従い、フッ素活性種と固体窒化珪素との間の反応は沈下し光の放出を確認することができなくなる。さらに、コンダクタンス制御及びバルブ21の開口角度がある値に近づく。この開口角度が付着物質が存在しない状態として予定された値(メモリ内に記憶された)の開口角度とほぼ一致するとき、制御器28はクリーニングの完了を感知し、NF3の供給を停止し同時にアルゴンガスのみを供給しつづける。アルゴンガスは遠隔プラズマ放電チャンバ13、反応チャンバ及び配管内に残ったフッ素活性種を完全にパージして、クリーニングシーケンスが終了する。
実施例2
構造
図2は本発明に従う他の実施例を示す。図1のプラズマCVD装置1と異なり、図2のプラズマCVD装置30は、遠隔プラズマ放電チャンバ13とガス出口ポート32との間に配置された接合部31において、反応コンジット11と配管14との連結を含む。反応ガス及びクリーニングガスは接合部31で混合されひとつのガス入口ポート32からシャワーヘッド4へ導入される。他は装置30は図1の装置1と同様である。
図示された実施例において、バルブ15は接合部31前のプラズマ放電チャンバ側に設置される。配管14及びバルブ6、15の内側面として、好適にはフッ素不動態化アルミニウム、アルミニウム合金、ステンレススチール若しくはニッケル材料が使用されるが、アルミニウム若しくはアルミニウム合金が使用されてもよい。バルブ5、15のシール材料として、好適にはPTFE(ポリテトラフルオロエチレン)、PFA(テトラフルオロエチレン・ペルフルオロアルキル・エーテル・コポリマー)若しくはPCTFE(ポリクロロトリフルオロエチレン)のようなフルオロカーボンポリマーまたはペルフルオロエラストマーが使用されるが、樹脂若しくは熱抵抗及び侵食抵抗を有するフッ素含有ゴム(例えば、VITON若しくはKalarez)が使用されてもよい。
図2に示された実施例の変形として、バルブ15が除去される。この場合、反応ガスコンジット11の一端は、遠隔プラズマ放電チャンバ13とガス出口ポート32との間の指定された位置で配管と結合され、接合部を形成する。
プラズマCVD動作及びクリーニング動作は実施例1で説明したように実行される。
実施例3
主たる構造
図3はこの実施例に従うプラズマCVD装置の好適実行例の断面略示図を示す。半導体ウエハ9若しくは他の基板上に薄膜を形成するのに使用されるプラズマCVD装置1は、反応チャンバ2、半導体ウエハ9を載置するための反応チャンバ内に与えられる支持体3、該支持体3に面して配置され半導体ウエハ9上に反応ガスを均一に噴射するのに使用されるシャワーヘッド4、反応チャンバ2の内部を排気するための出口20から成る。遠隔プラズマ放電チャンバ13は反応チャンバ2から離れて配置され、配管14及びバルブ15を介してシャワーヘッド4に連結される。遠隔プラズマ放電チャンバ13は指定された周波数の無線周波数発振出力エネルギーを使用して活性種を生成するという特長を有する。
反応チャンバ2の一方側に、開口19が形成され、反応チャンバ2はゲートバルブ18を介して半導体ウエハ若しくは他の基板を搬入及び搬出するための転送チャンバ(図示せず)へ結合される。
反応チャンバ2内に与えられ半導体ウエハ9を載置するために使用される支持体3は陽極酸化アルミニウム若しくはアルミニウム合金から成り現場プラズマ放電用のひとつの電極を構成するべく接地27されている。したがって、図示された実施例の反応チャンバ2は現場(チャンバ内)プラズマ生成用に形成されたプラズマCVDチャンバである。支持体3内には、リング状の加熱エレメント26が埋め込まれ、半導体ウエハの温度は温度制御器(図示せず)を使って指定された温度に制御される。支持体3は支持ピストン29を通じて上下に移動する駆動機構25に結合される。
半導体ウエハ9を支持する支持体3は、必ずしも陽極酸化されたアルミニウム若しくはアルミニウム合金から作られるとは限らず、代わりにセラミックヒータが使用されてもよい。セラミックヒータはセラミックプレートを有し、抵抗熱エレメントが埋め込まれ、金属エレメントが現場プラズマ放電用のひとつの電極を形成する。金属エレメントは可能であればプラズマ放電の電極に接地される。セラミックプレートは窒化アルミニウム、酸化マグネシウム、酸化アルミニウムなどに比べ優れた耐食性を有し、ヒーターとして働くのに十分な熱伝導率を有する。抵抗加熱エレメントとして、タングステンが使用される。現場プラズマ放電用の電極を構成する金属エレメントとして、タングステン若しくはモリブデンが使用される。
反応チャンバ2内で、シャワーヘッド4は支持体3に面する位置で与えられる。シャワーヘッド4には、半導体ウエハ9上に反応ガスを噴射するために数千個の細孔が設けられている。シャワーヘッド4はマッチング回路10を介して無線周波数発信機8と電気的に接続され、現場プラズマ放電用のもうひとつの電極を形成する。膜形成用に使用されるべき反応ガスをシャワーヘッド4から導入するために、反応ガスコンジット11は配管14に結合される。ガスコンジット11の数はひとつに限定されない。反応ガスのタイプにしたがって、必要な数のガスコンジットが取り付け可能である。ガスコンジット11の一端は反応ガスの流入を生じさせるガス入口ポート5を構成し、他端は接合部31で配管14に結合される。反応ガスはガス出口ポート7から配管14を通じてシャワーヘッド4の内部へ導入される。質量流量制御器(図示せず)及びバルブ6は反応ガスコンジット11の中央に設置される。
反応チャンバ2の側壁には、出口20が与えられる。出口20は配管17を通じて真空排気ポンプ(図示せず)へ結合される。出口20と真空ポンプとの間で、コンダクタンス制御バルブ21が反応チャンバ2内の圧力を調整するべく与えられる。コンダクタンス制御バルブ21は外部調整器28に電気的に接続されている。
好適には圧力計28aは反応チャンバ内の圧力を測定するために与えられる。圧力計は調整器28に電気的に接続される。
遠隔プラズマ放電チャンバ
本実施例の遠隔プラズマ放電チャンバ13は反応チャンバ2から離れて配置されている。遠隔プラズマ放電チャンバ13は300kHz〜500kHzの無線周波数範囲の周波数を使用する高周波電気放電装置である。先に説明したような遠隔プラズマ放電チャンバの周波数として約2.45GHzのマイクロ波を使用することは所望されない。なぜならばそれは容易に劣化する電気放電チャンバを要求するからである。さらに、もし1MHzから27MHzまでの範囲の周波数が使用されると、安定したプラズマ放電を実現するために無線周波数発信機と遠隔プラズマ放電チャンバとの間に自動整合トランスが取り付けられなければならない。この自動整合トランスはコストを増加させる。同時に、それは遠隔プラズマ放電チャンバ及び自動整合トランスを反応チャンバ付近に取り付けることを要求し、半導体処理装置全体のサイズの増大及び機械間スペースの減少によってメンテナンス作業を困難にするため、所望されない。300kHz〜500kHzの周波数範囲は効率的にクリーニングガスの活性化を可能にし、プラズマ放電チャンバを容易に劣化しない材料から作ることを可能にし、よりコンパクトな装置を実現する。より安定なプラズマ放電を実現するために350kHz〜450kHzの範囲が好適であるが、400kHz〜430kHzの範囲がより好適である。
好適には遠隔プラズマ放電チャンバ13は陽極酸化アルミニウム合金から成る。図示された実施例において、遠隔プラズマ放電チャンバ13は配管14を通じて反応チャンバ2内のシャワーヘッド4に連結される。配管14の中央にバルブ15が与えられる。配管14は直線構造である。その内径は少なくとも1/2インチであるが、好適には1インチ以上である。加えて、バルブ15の特長はそれが開かれたとき流路内には流れを制限する構造物は存在しないという点である。開かれた流路の内径は配管14よりそれほど小さくはなく、好適には同じである。結果として、遠隔プラズマ放電チャンバから反応チャンバへのクリーニングガスが流れるとき、配管14及びバルブ15内には明らかな圧力の損失は生じない。好ましくは、バルブ15を横切る圧力の低下は約0.25Torr以下であり(好適実施例で入口圧力の約5%)、より好適には約0.1Torr以下(好適実施例で入口圧力の約1%)である。
配管14はアルミニウム若しくはアルミニウム合金から成るが、耐食性のステンレスススチールが使用されてもよい。配管14の一端は遠隔プラズマ放電チャンバ13に結合され、他端はクリーニングガスをシャワーヘッド4に導入するのに使用されるガス出口ポート7を構成する。また、クリーニングガス入口ポート12は遠隔プラズマ放電チャンバ13内にクリーニングガスを導入するために与えられる。質量流量制御器(図示せず)によって指定された流量に制御された後、クリーニングガスはクリーニングガス入口ポート12に導入される。
好適には配管14及びバルブ15は反応ガス及びクリーニングガスが表面に付着するのを妨げる温度までヒータ(図示せず)によって加熱される。配管14及びバルブ15の温度は反応ガス及びクリーニングガスのタイプに従って選択される。また、必要により、コンジット11、バルブ6及びガス入口ポートの部分も指定された温度でヒータ(図示せず)により加熱されてもよい。
スルーフロータイプバルブ
図4において、本実施例で使用されるバルブ15の断面図が示される。図4(a)はバルブ15の閉止状態を示し、一方図4(b)はバルブ15の開放状態を示す。バルブ15はアルミニウム若しくはアルミニウム合金から成るボディ24から成る。バルブボディ30はボルト33によって軸32に固定される。バルブボディ30上に、ボディ34の内部35をシールすることによって気密性を達成するOリング34が設置される。バルブ15の上流開口22において、遠隔プラズマ放電チャンバへ結合されるよう配管14の部分が設置される。下流開口23において、ガス出口ポート7に結合されるよう配管14の部分が設置される。開口23及び22での設置方向は限定されるものではなく状況に応じて変えられてもよい。バルブ15のボディ24に使用される材料はアルミニウム若しくはアルミニウム合金に限定されない。ステンレススチールのような優れた耐食性を有する他の材料が使用されてもよい。バルブボディ30はアルミニウム若しくはアルミニウム合金から成るが、ニッケル、チタン、ステンレススチールのような優れた耐食性金属またはポリイミド樹脂のような優れた耐食性樹脂が使用されてもよい。付加的に、ボルト33及び軸32はアルミニウム、アルミニウム合金、ニッケル及びステンレススチールのような優れた耐食性を有する金属から成る。Oリング34は使用されるガス流によって劣化しない弾性材料から成る。好適にはOリング34はフッ素含有ゴムから成り、さらに好適にはペルフルオロエラストマーから成る。
本実施例で使用されるバルブに関して、閉止状態では、バルブボディ30は図4(a)で示される位置にある。バルブボディ30上に設置されたOリング34はボディ24の内側35をシールする。バルブ15が開放されると図4(b)に示されるように、バルブボディ30はバルブ15のボディ24内の空間36内へ引き上げられかつ収容される。バルブボディ30の垂直移動はバルブ15の駆動機構(図示せず)によって軸32を移動することによって実行される。重要なのは、図4(b)に示されるように、バルブ15が開いたとき、バルブボディ30及び軸32は全体が空間36内に収容されかつ開口23と開口22との間に画成された流路から完全に除去されているということである。したがって、バルブボディ30が図4(b)に位置にあるとき、バルブ15を通って流れるクリーニングガスを妨げる構造物は存在しない。
図3を再び参照して、クリーニングガス入口ポート12から流入するクリーニングガスとして、フッ化窒素、フッ化炭素及びフッ化塩素のようなフッ素含有ガス、窒素及びフッ化炭素の混合ガスまたはそれらのガスと酸素若しくは不活性ガスとの混合ガスが使用される。特定的に、NF3,ClF3,CF4,C2F6,C3F8と酸素の混合ガス、NF3と窒素の混合ガス、NF3と希釈ガスとの混合ガスが使用される。希釈ガスとして、ヘリウム、アルゴン、ネオン、キセノン若しくはクリプトンが使用される。
プラズマCVD動作
図3を参照して、本実施例に従うプラズマCVD装置の動作が説明される。上記したように、動作は大雑把に2つのシーケンスに分割される。(1)半導体ウエハ9上への薄膜形成及び(2)反応チャンバの内側のクリーニングである。薄膜形成シーケンスは例として半導体ウエハ9上に酸化珪素を形成するのを参照して説明される。
まず、反応チャンバ2の内部が出口20を通じて外部真空ポンプ(図示せず)によって真空排気される。反応チャンバ内の圧力はコンダクタンスバルブ21の開度によって1Torrから8Torrの範囲に調整される。
次に、加熱エレメント26によって加熱された支持体3は温度制御器(図示せず)を使って好適には300℃〜420℃(572°Fから788°F)の指定温度で半導体ウエハ9を制御する。
続いて、流量が質量流量制御器(図示せず)によって制御された反応ガスSiH4、NH3及びN2が反応ガス入口ポート5から流入し、バルブ6を通過した後ガス出口ポート7を通ってシャワーヘッド4内へ導入される。この場合、SiH4、NH3及びN2ガスの遠隔プラズマ放電チャンバ13への流入はバルブ15によって妨げられる。反応ガスはシャワーヘッド4の下面に形成された細孔から半導体ウエハ9に向かって均一に噴射される。
13.56MHzの高周波電力若しくは13.56MHz及び430kHzの混合電力が無線周波数発信機8によってシャワーヘッド4に印加される。結果として、プラズマ反応領域はひとつの電極を構成するシャワーヘッド4と他の電極を構成する支持体3との間の空間に形成される。その領域内の反応ガスの分子はプラズマエネルギーによって活性化されかつ窒化珪素が半導体ウエハ9上に形成される。
薄膜形成処理が終了すると、バルブ6が閉じられ同時にバルブ18が開かれる。処理済の半導体ウエハ9は開口19を通じて自動転送ロボット(図示せず)によって隣接する転送チャンバ(図示せず)へ搬出される。反応チャンバ2が真空排気された後、未処理の半導体ウエハが転送チャンバから搬入され、ゲートバルブ18が閉じられ、上記シーケンスが繰り返される。
薄膜形成処理が連続して実行されている間、不所望な生成物が反応チャンバ2の内壁及び支持体の表面及び側面に付着する。不所望な生成物は徐々に蓄積し、剥がれ落ち、反応チャンバ内を浮遊してパーティクル汚染を引き起こす。結果として、定期的(例えば、薄膜形成シーケンスが終了しウエハがアンロードされ次のウエハがロードされる間に)に反応チャンバ2の内側を清浄にする必要がある。以下に、反応チャンバ2の内壁に付着した窒化珪素を除去するためのクリーニングシーケンスが説明される。
クリーニング動作
クリーニングガスとして使用されるNF3とアルゴンの混合ガスが指定された流量に制御され、クリーニングガス入口ポート12から流入し、遠隔プラズマ放電チャンバ13に導入される。フッ素含有ガスとしての好適流量は約0.5slmと1.5slmとの間であり、好適なキャリアガスの流量は約0.5slmと4slmとの間である。好ましくは、不活性キャリアガスはフッ素含有ガスの流量の約2〜3倍である。遠隔プラズマ放電チャンバ13の内部で、300kHzから500kHzの無線周波数(RF)出力が1000Wから5000Wの電力をもってクリーニングガスに印加される。無線周波数出力の値は反応チャンバ2の内部に付着した不所望の生成物が許容できる速度で除去されるように設定される。遠隔プラズマ放電チャンバの長期品質メンテナンスを実現するために、及びフッ素活性種を高効率で生成するために、無線周波数出力範囲の好適範囲は1500Wから3000Wであり、より好適な範囲は2000Wから3000Wである。このエネルギーによって、クリーニングガスは解離されかつフッ素活性種を形成するためにある効率で活性化される。
生成されたフッ素活性種は配管14及びバルブ15を通じてシャワーヘッド4に導入される。シャワーヘッド4から反応チャンバ内部に均一に噴射されるフッ素活性種は、反応チャンバの内壁及び他の面に付着した固体窒化珪素と化学反応を起こし、固体の付着物を気体物質に変える。結果として、反応チャンバ内のガス分子数は増加するが、反応チャンバ内の圧力は圧力計28aによって測定された反応チャンバ内の圧力値に応答してリアルタイムでコンダクタンスバルブ21の開度を制御する制御器28によって特定値に維持される。
好適には、配管14及びバルブ15は内部のガス流の早急なパージを容易にするために100℃から200℃(212°Fから392°F)の温度に加熱される。半導体ウエハ9上に窒化珪素を形成するためにNH3が使用されかつフッ素活性種を含むクリーニングガスが反応チャンバ2を清浄にするのに使用されると、NH3及びフッ素活性種が混合されれば固体フッ化アンモニウムが生成され、配管14の内側は汚染される。配管14内部から各々のガスを早急に除去するために、配管14及びバルブ15はより好適には少なくとも120℃(248°F)で加熱されるべきである。反応ガスとしてTEOS[Si(OC2H5)4]が使用されるとき、配管14及びバルブ15を少なくとも120℃(248°F)に加熱することはそれが流れる際TEOSが液化することを妨げる。バルブ15及び配管14の温度は反応チャンバ2内に流れる反応ガスのタイプにしたがって決定されるが、バルブ15の熱抵抗温度によって制限される。図示された実施例において、温度の上限は約200℃(392°F)である。
一つの実施例において、1slmのNF3及び2slmのArがクリーニングガスとして使用されたとき、反応チャンバ内の圧力は1Torrと5Torrの間に設定された。フッ素活性種は遠隔プラズマ放電チャンバ内部に約400kHz で2700Wの無線周波数電力を印加することによって生成され、反応チャンバ内壁に付着した不所望な窒化珪素は2.0ミクロン/分より速く、特に約2.5ミクロン/分で除去された。
他の実施例において、0.75slmのNF3及び1.5slmのArが使用され、反応チャンバの圧力が約1Torrに設定された状態で、遠隔プラズマ放電チャンバ内部に約400kHz で2400Wの無線周波数電力を印加することによって生成されたフッ素活性種は反応チャンバ2の内壁から約2.0ミクロン/分の速度で不所望の窒化珪素を除去した。
他の実施例において、原料としてTEOSから形成された反応チャンバ2内壁に付着した不所望のシリコン酸化膜を除去するために、1slmのNF3及び3slmのアルゴンがクリーニングガスとして使用された。フッ素活性種は遠隔プラズマ放電チャンバ13に400kHz で2400Wの無線周波数電力を印加することによって生成された。フッ素活性種を含むこのプラズマの生成物は遠隔プラズマ放電チャンバ13から反応チャンバ2へ導入された。酸化珪素は約1.5ミクロン/分の速度で除去された。
以上でクリーニングシーケンスの説明を終了する。
実施例4
主たる構造
図5を参照して本発明の第4の実施例に従って化学気相成長(CVD)装置110が示されている。上記された実施例と異なり、図示されたCVDリアクタ110は冷壁反応チャンバ112を含む。図示された実施例において、デポジション若しくは反応チャンバ112はある波長の放射エネルギーを透過する石英から成り、それは以下に説明する加熱システムの説明によって理解できる。
最初は一度に単一の基板上へのシリコンのエピタキシャル成長を最適化するよう設計されたが、温度及び/または多くの異なる材料の遠隔プラズマCVDのユーティリティを有する上位の処理制御が発見された。装置110の基本的構成はアリゾナ州フェニックスのASM America,Incから商品名Epsilonとして商業的に入手可能である。
石英チャンバ112の壁によるかなりの吸収もなくチャンバ112内に熱エネルギーを与えるべく複数の放射熱源がチャンバ112の外側に支持されている。好適実施例は半導体ウエハを処理するための“冷壁”CVDリアクタのコンテキストで説明されるが、ここで説明される処理方法は誘導若しくは抵抗加熱を採用するような他の加熱/冷却システムと関連するユーティリティを有することが理解できる。
図示された放射熱源は伸長したチューブタイプの放射熱エレメント113の上部加熱組立体から成る。上部加熱エレメント113は好適には平行に離隔されて配置され、下の反応チャンバ112を通過する反応ガス流と実質的に平行に配置される。下部加熱組立体は反応チャンバ112の下で同様に伸長したチューブタイプの放射熱エレメント114から成り、好適には上部加熱エレメントと交差するように方向付けられている。好ましくは、放射熱の一部は上部及び下部ランプ113、114のそれぞれの上下の粗い正反射板(図示せず)によって散漫にチャンバ112内に反射される。付加的に、複数のスポットランプ115は集中した熱を基板支持構造体(以下に説明される)の下側へ供給し、反応チャンバ112の底を通じて伸びる冷たい支持構造体によって作られるヒートシンク効果を妨害する。
伸長したチューブタイプの加熱エレメント113、114の各々は、好適にはヨウ素のようなハロゲンガスを含む透明石英エンベロープを有する高輝度タングステンフィラメントランプである。そのようなランプは明らかな吸収の無い反応チャンバ112の壁を通って伝達される完全スペクトルの放射熱エネルギーを生成する。半導体処理機器の技術で知られるように、さまざまなランプ113、114、115の電力は温度センサに応答して個々に若しくは集団区域内で制御される。
好適にはシリコンウエハ116から成る基板は基板支持構造体118上で反応チャンバ112の内部で支持される。図示された実施例の基板は単結晶のシリコンウエハであるが、“基板”の用語はその上に層が蒸着される広い意味での被処理体を言うことに注意すべきである。さらに、クリーニング及び汚染の防止はしばしば制限なくガラス若しくは他の基板上への光学薄膜の蒸着を含む他の基板上へ層を蒸着する際に要求される。
図示された支持構造体118は上にウエハ116が載置される基板ホルダ120及び支持スパイダ122を含む。スパイダ122は軸124へ取り付けられ、該軸はチャンバ下側の壁から垂れ下がるチューブ126を通って下方へ伸長する。好適には、チューブ126は処理ガスがチャンバ112の下部に逃げるのを禁止しながら、処理の間流れるパージ若しくはスイープガスのソースと連結する。
複数の温度センサがウエハ116の近傍に配置される。温度センサは光温度計若しくは熱電対のようなさまざまなかたちを取ることができる。温度センサの数及び位置は、好適な温度制御器の以下の説明によって理解されるように、温度均一性を促進するように選択される。しかし、好適には温度センサは直接的若しくは間接的にウエハ近傍の位置の温度を感知する。
図示された実施例において、温度センサは適当な方法でウエハホルダ120の下にぶら下がった第1及び中央熱電対128を含む熱電対から成る。図示された中央熱電対128はウエハホルダ120近傍のスパイダ122を通過する。装置110はさらにウエハ116の近傍に、前縁若しくはフロント熱電対129、後縁若しくはリア熱電対130及びサイド熱電対(図示せず)を含む複数の第2若しくは周辺熱電対を含む。周辺熱電対の各々はスリップリング132内に収容され、それは基板ホルダ120及びウエハ116を囲む。中央及び周辺熱電対の各々は温度制御器に接続され、それは熱電対の読み取りに応答してさまざまな加熱エレメント113,114,115の電力を設定する。
周辺熱電対の収容に加え、スリップリング132は高温処理中の放射熱を吸収及び放出し、その結果それは表面領域対そのエッジ付近の領域内の体積のより大きな比率のために発生することが知られている現象であるウエハエッジでのより大きな熱損失若しくは吸収の傾向を補償する。エッジ損失を最小化することによって、スリップリング132はウエハ116を横切る径方向温度の非均一性の危険を減少させる。スリップリング132は適当な手段によって吊り下げられる。例えば、図示されたスリップリング132はエルボ134上に載り、それはフロントチャンバ仕切り板及びリアチャンバ仕切り板から垂れ下がる。仕切り板136及び138は好適には石英から形成される。ある構造において、リア仕切り板138は省略される。
図示された反応チャンバ112はCVDによるデポジション用の反応及びキャリアガスの噴射用に入口ポート140を含む。出口ポート142はチャンバ112の反対側にあり、ウエハ支持構造体118は入口140と出口142との間に配置される。入口コンポーネント150は反応チャンバ112へ取り付けられ、入口ポート140を囲むように適応され、ウエハ116が挿入されるところの水平に伸長したスロット152を含む。概して垂直な入口154は離れたソースからガスを受け取り、かつそのガスをスロット152及び入口ポート140に伝達する。入口154はここに参考文献として組み込むHawkinsらによって発行された米国特許第5,221,556号に説明されるような、または1996年4月25日に出願された米国特許出願第08/637,616号の図21から26に関連して説明されるようなガスインジェクションを含む。そのようなインジェクタは単一ウエハリアクタに対してガス流の均一性を最大化するように設計される。
出口コンポーネント156は、排気口158が出口ポート142と一列化し排気コンジット159へ導くように処理チャンバ112へ同様に取り付けられる。引き続きコンジット159はチャンバ112を通じて処理ガスを吸引するための適当な排気手段(図示せず)と連結する。好適実施例において、処理ガスは反応チャンバ112及び下流のスクラッバ(図示せず)を通じて引き込まれる。好適にはポンプ若しくはファンが処理ガスをチャンバ112へ引き込むのを助けるために及びチャンバを低圧処理用に排気するために含まれる。
好適には、ウエハは、周囲の環境から隔絶されたハンドリングチャンバ(図示せず)から、ピックアップ装置によってスロット152を通して渡される。好適にはハンドリングチャンバ及び処理チャンバ112は、ここに参考文献として組み込む米国特許第4,828,224号に開示されたタイプのゲートバルブ(図示せず)によって分離される。
遠隔プラズマ放電チャンバ
好適装置110はチャンバ112から上流に配置された活性種のソースを含む。図示された実施例の活性種ソースは遠隔プラズマ放電チャンバ13に接続された発電機から成る。遠隔プラズマ放電チャンバ13はバルブ15を有する配管によってデポジションチャンバ112へ結合される。配管14の一端はクリーニングガスが遠隔プラズマ放電チャンバ13内に流入するようクリーニングガス入口ポート12を構成する。配管の他端は、反応チャンバの入口140と出口142との間に画成された水平流路内にクリーニングガスを導入するためのクリーニングガス出口ポート16を構成する。
配管14の入口端12は複数のガスソースに結合されている。特に、クリーニングガスのソース163は遠隔プラズマ放電チャンバ13内にクリーニングガスを導入するために配管の入口端12に結合されている。キャリアガスのソース164も好適にはガスライン12に結合される。技術的に周知のように、ガスソース163、164は反応種の品種及び揮発性に依存してガスタンク、バブラー等から成る。示されるように各ガスラインは別々の質量流量制御器(MFC)及びバルブを備え、それによって遠隔プラズマ放電チャンバ及びその後反応チャンバ112へ導入されるキャリアガス及び反応種の相対的量の選択が可能になる。
付加的な反応ガス用にひとつ若しくはそれ以上の分岐線165(図示せず)が与えられてもよい。有利なことに、分岐線に結合されたソースガスはチャンバ内でプラズマ蒸着を補助するのに有用なソースに結合される。したがって、遠隔プラズマ放電チャンバ13はクリーニングのためだけに使用されるのではなく、プラズマCVD用の活性反応物質を与えるために使用される。択一的に、分離遠隔プラズマソースが蒸着反応物質用に与えられてもよい。
チャンバ13、配管14及びバルブ15は図1から4の実施例に関して上記されたようなものである。上記したように、バルブ15は付加的に省略されてもよく、処理のデポジション工程中に(解離エネルギーを印加せずに)遠隔プラズマ放電チャンバ13を通じるキャリア若しくは不活性ガスの流れに置き換えられる。
CVD動作
図5の装置110は、エピタキシャルシリコン、ポリシリコン、酸化珪素及び窒化珪素を含むさまざまな組成の膜をCVDによって蒸着するために使用される。有利なことに、遠隔プラズマ放電チャンバ13はCVD内の反応を補助するための活性反応物質を与え、それによってこのデポジションに必要な熱を下げることができる。
窒化珪素のデポジションの例において、約1.5slmのアンモニア(NH3)及び15sccmのシラン(SiH4)が導入される。窒素は同じ流量で流れ続け、温度及び圧力は約780℃及び50Torrに維持される。アンモニア及びシランの流れは約90秒間続き、基板表面において厚さ約3nmの窒化珪素層を蒸着(430)するよう反応する。上記したように、ひとつ若しくはそれ以上の反応物質が遠隔プラズマ放電チャンバ13を通じて活性化され、それによって同じデポジション速度に対して温度を低下できる。この場合、好適には反応チャンバ圧力は遠隔プラズマ放電チャンバ内でのプラズマ点火を容易にするために減少される。
ポリシリコンのデポジションの例において、約350sccmのシランが導入される間キャリアガスN2のガス流が約15slmに維持される。ジシランを採用すると有利に蒸着速度を改善することができる。圧力は約50Torrに維持されたままで、温度は約680℃に固定される。約120秒の間に、約150nmのポリシリコン電極層が蒸着(637)される。この方法によって形成されたポリシリコンは、現場ドーピング(デポジション中)も予想されるが、デポジション(637)の後適当な導電率にドープされることが理解される。現場ドーピング用に、フォスフィン、アルシン若しくはジボランのような共通のドーピングソースがシラン流に付加される。他の処理において、チャンバはH2/SiH4ポリシリコン処理に対して約大気圧まで戻される。上記したように、ひとつ若しくはそれ以上の反応物質が遠隔プラズマ放電チャンバ13を通じて活性化され、それによって同じデポジション速度で温度が低下する。この場合、反応チャンバ圧力は好適には遠隔プラズマ放電チャンバ内でのプラズマ放電を容易にするために減少される。
他の処理において、ポリシリコンはゲート/誘電体インターフェイスにおいて電気的仕事関数を下げるためにゲルマニウムで現場ドープされる。例えば、約100sccmから1000sccmのゲルマニウム(H2内で1.5%)流がシラン流に付加される。この場合、デポジションの温度は好適には550℃と650℃の間に、より好適には約600℃±15℃に維持される。生成されたポリSiGe層内のゲルマニウムの含量は約10%から60%である。上記したように、ひとつ若しくはそれ以上の反応物質が遠隔プラズマ放電チャンバ13を通じて活性化され、それによって同じデポジション速度に対して温度を低下させる。この場合、反応チャンバ圧力は好適には遠隔プラズマ放電チャンバ内のプラズマ点火を容易にするために減少される。
チャンバクリーニング動作
清浄にされるべき材料及びチャンバ内の材料に依存して、上記実施例に関して説明されるように、遠隔プラズマ放電チャンバ13を通じてフッ素活性種が与えられる。あるデポジションに対して、当業者は、塩素活性種及び/または他の活性種が石英チャンバ112壁に過大なダメージを与えることなく蒸着した材料をより効果的に清浄にすることができることを理解する。シリコンデポジションに続く適当なクリーニングガスは、例えば遠隔プラズマ放電チャンバ13を通じて与えられるHCl若しくはNF3/Clを含む。酸化珪素若しくは窒化珪素デポジションに続くクリーニングガスは上記実施例に関して説明されたように、好適にはフッ素含有ガスを含む。
20℃から800℃の範囲の温度で及び好適には500℃から800℃の温度で、遠隔プラズマジェネレータ作動範囲(典型的にこの処理に対して0.5から5Torr)と適合する圧力で、NF3及びCl2の両方を使用する処理が、シリコン、窒化珪素、酸窒化珪素及び/または二酸化珪素の形成された蒸着層を除去するために実行される。NF3及びCl2は、約1000Wと5000Wとの間の無線周波数エネルギー、好適には300kHzから500kHzで約2000Wと3000Wとの間のエネルギーを印加することによって遠隔プラズマ放電チャンバ13を通じて流れるとき解離される。典型的に、NF3、Cl2及びN2が遠隔プラズマ放電チャンバ13を通じて流れる。N2流はエッチング速度が増加するのを助け、全体のガス速度を増加させる。NF3:Cl2流量比及び温度は二酸化珪素がエッチングによって損なわれないように、結局無限に二酸化珪素に対する窒化珪素の選択性を増加させるために調節される。さらなる詳細についてはここに参考文献として組み込むSutoらによる”Highly selective etching of Si3N4 to SiO2 employing fluorine and chlorine atoms generated by microwave discharge”, J. ELECTROCHEMICAL SOCIETY, Vol. 136, No 7, July 1989, p.2032-2034及びStaffaらによる、”Selective remote plasma etching of Si3N4over SiO2 at elevated temperature”, ELECTROCHEMICAL SOCIETY PROCEEDINGS, Vol.95-5, p.283-289に与えられる。シリコン、酸化珪素及びSi3N4の速いエッチング速度が達成される。
本発明の思想から離れることなく多くのさまざまな修正が可能であることは当業者の知るところのである。したがって、図示された本発明の形式は発明の態様を制限するものではない。
発明のこれら及び他の特長は詳細な説明及び添付図面によって明らかにされるが、それは図示するという意味で発明を限定するものではない。同じ参照番号は同じ部品を示すのに使用される。
図1は、本発明の第1の実施例に従って構成された基板処理装置の断面略示図を示す。 図2は、本発明の第2の実施例に従って構成された基板処理装置の断面略示図を示す。 図3は、本発明の第3の実施例に従って構成された基板処理装置の断面略示図を示す。 図4(a)及び(b)は好適実施例に使用されるバルブの断面略示図であり、図4(a)はバルブ閉止状態を示し、図4(b)はバルブ開放状態を示す。 図5は、本発明の第4の実施例に従う基板処理装置の断面略示図を示す。
符号の説明
1 プラズマCVD装置
2 反応チャンバ
3 支持体
4 シャワーヘッド
5 ガス入口ポート
6 バルブ
7 ガス出口ポート
8 高周波発信機
9 半導体ウエハ
10 マッチング回路
11 ガスコンジット
12 クリーニングガス入口ポート
13 遠隔プラズマ放電チャンバ
14 配管
15 バルブ
16 クリーニングガス出口ポート
17 配管
18 ゲートバルブ
19 開口
20 出口
21 コンダクタンス制御バルブ
22 CCD検出器
23 観測窓
24 モニター
25 駆動機構
26 加熱エレメント
27 接地
28 調整器
28a 圧力計
29 支持ピストン

Claims (7)

  1. 遠隔プラズマ放電チャンバを通じて与えられるクリーニングガスを使って化学気相成長(CVD)反応チャンバをクリーニングするための方法であって、
    1500Wから3000Wで300kHzから500kHzの高周波エネルギーを印加することによって遠隔プラズマ放電チャンバ内のクリーニングガスを解離する工程と、
    配管を通じて遠隔プラズマ放電チャンバから反応チャンバへ0.5slmから1.5slmの流量で活性種を供給する工程と、
    から成る方法。
  2. 請求項1に記載の方法であって、反応チャンバ壁上の付着物は窒化珪素から成る、ところ方法。
  3. 請求項1に記載の方法であって、クリーニングガスはフッ素含有ガスから成り、また活性種はフッ素活性種から成る、ところの方法。
  4. 請求項1に記載の方法であって、前記フッ素活性種はNF3である、ところの方法。
  5. 請求項1に記載の方法であって、さらにCVD反応を実行した後及び活性種を供給する前に配管上にあるバルブを開放する工程を含む、方法。
  6. 請求項5に記載の方法であって、バルブを開放する工程は、配管の内面と実質的に同じ幅の開口を形成するべく流路から完全にシールエレメントを引っ込める工程から成る、ところの方法。
  7. 請求項5に記載の方法であって、さらに付着した付着物を除去した後にバルブを閉める工程を含む、方法。
JP2006300670A 2000-01-18 2006-11-06 Cvdチャンバのクリーニング方法 Expired - Lifetime JP4417362B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17659200P 2000-01-18 2000-01-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001010115A Division JP3902408B2 (ja) 2000-01-18 2001-01-18 セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置

Publications (2)

Publication Number Publication Date
JP2007043205A true JP2007043205A (ja) 2007-02-15
JP4417362B2 JP4417362B2 (ja) 2010-02-17

Family

ID=22644991

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001010115A Expired - Lifetime JP3902408B2 (ja) 2000-01-18 2001-01-18 セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置
JP2006300670A Expired - Lifetime JP4417362B2 (ja) 2000-01-18 2006-11-06 Cvdチャンバのクリーニング方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001010115A Expired - Lifetime JP3902408B2 (ja) 2000-01-18 2001-01-18 セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置

Country Status (4)

Country Link
US (4) US6736147B2 (ja)
EP (1) EP1118692A1 (ja)
JP (2) JP3902408B2 (ja)
KR (1) KR100767762B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009152603A (ja) * 2007-12-18 2009-07-09 Asm Japan Kk プラズマcvd装置及びその方法
JP2010098158A (ja) * 2008-10-17 2010-04-30 Seiko Epson Corp プラズマcvd装置用サセプタ及びその製造方法、並びに、プラズマcvd装置、並びにその保守方法、並びに半導体装置の製造方法
JP2013062342A (ja) * 2011-09-13 2013-04-04 Toshiba Corp 成膜装置のクリーニング方法
WO2020166823A1 (ko) * 2019-02-13 2020-08-20 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치

Families Citing this family (635)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US6996548B2 (en) * 2001-05-11 2006-02-07 Hemisphere Ii Investment Lp Method and apparatus for providing a reward for the use of a processor in a parallel processing environment
KR100837208B1 (ko) * 2001-12-31 2008-06-11 엘지디스플레이 주식회사 박막증착장비용 펌프의 배관 클리닝시의 운전방법 및 그보조장치
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6955707B2 (en) * 2002-06-10 2005-10-18 The Boc Group, Inc. Method of recycling fluorine using an adsorption purification process
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
AU2003261791A1 (en) * 2002-08-30 2004-03-19 Tokyo Electron Limited Substrate processor and method of cleaning the same
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7806126B1 (en) * 2002-09-30 2010-10-05 Lam Research Corporation Substrate proximity drying using in-situ local heating of substrate and substrate carrier point of contact, and methods, apparatus, and systems for implementing the same
RU2215061C1 (ru) * 2002-09-30 2003-10-27 Институт прикладной физики РАН Высокоскоростной способ осаждения алмазных пленок из газовой фазы в плазме свч-разряда и плазменный реактор для его реализации
US6818566B2 (en) * 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040135828A1 (en) * 2003-01-15 2004-07-15 Schmitt Stephen E. Printer and method for printing an item with a high durability and/or resolution image
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP4312063B2 (ja) 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
US7695763B2 (en) * 2004-01-28 2010-04-13 Tokyo Electron Limited Method for cleaning process chamber of substrate processing apparatus, substrate processing apparatus, and method for processing substrate
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
GB0415560D0 (en) * 2004-07-12 2004-08-11 Boc Group Plc Pump cleaning
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7926440B1 (en) * 2004-11-27 2011-04-19 Etamota Corporation Nanostructure synthesis apparatus and method
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4651406B2 (ja) * 2005-02-16 2011-03-16 キヤノンアネルバ株式会社 プラズマによるガス分解装置を用いた表面処理方法
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
KR100725721B1 (ko) 2005-05-10 2007-06-08 피에스케이 주식회사 다운스트림 방식의 플라즈마 처리를 위한 방법
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
KR100706792B1 (ko) * 2005-08-01 2007-04-12 삼성전자주식회사 펌프 유닛을 가지는 반도체 소자 제조 장치 및 상기 펌프유닛을 세정하는 방법
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
JP2009503270A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物を除去するためのnf3の使用方法
JP2009503905A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
KR100724266B1 (ko) * 2005-09-26 2007-05-31 동부일렉트로닉스 주식회사 대기압 플라즈마를 이용한 실리콘 웨이퍼 표면 세정 방법및 장치
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
JP2008218877A (ja) * 2007-03-07 2008-09-18 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
TWI453816B (zh) * 2007-05-31 2014-09-21 Ulvac Inc 電漿處理裝置之乾洗方法
KR100870567B1 (ko) * 2007-06-27 2008-11-27 삼성전자주식회사 플라즈마를 이용한 이온 도핑 방법 및 플라즈마 이온 도핑장치
WO2009020433A1 (en) * 2007-08-08 2009-02-12 Agency For Science, Technology And Research A semiconductor arrangement and a method for manufacturing the same
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP2009084625A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 原料ガスの供給システム及び成膜装置
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
JP4696135B2 (ja) * 2008-02-04 2011-06-08 アプライド マテリアルズ インコーポレイテッド ゲートバルブ及び成膜システム
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
WO2009126827A2 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
KR101037916B1 (ko) * 2008-07-18 2011-05-30 최영이 강화유리문의 고정프레임 결합구조
GB0813241D0 (en) 2008-07-18 2008-08-27 Mcp Tooling Technologies Ltd Manufacturing apparatus and method
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
KR101832478B1 (ko) * 2008-10-24 2018-02-26 어플라이드 머티어리얼스, 인코포레이티드 다중 가스 공급 장치 및 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
AU2010213360B2 (en) * 2009-02-13 2013-11-28 Gallium Enterprises Pty Ltd Plasma deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
JP2011096937A (ja) * 2009-10-30 2011-05-12 Ulvac Japan Ltd 真空励起管の洗浄方法及び真空処理装置
US8338317B2 (en) * 2011-04-06 2012-12-25 Infineon Technologies Ag Method for processing a semiconductor wafer or die, and particle deposition device
US8319176B2 (en) * 2010-04-01 2012-11-27 Electro Scientific Industries, Inc. Sample chamber for laser ablation inductively coupled plasma mass spectroscopy
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
GB2480873B (en) * 2010-06-04 2014-06-11 Plastic Logic Ltd Reducing defects in electronic apparatus
JP2012015374A (ja) * 2010-07-01 2012-01-19 Toshiba Corp マスフローコントローラシステム、プラズマ処理装置、流量制御方法、及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR101493606B1 (ko) * 2013-08-27 2015-02-13 김정대 기체 세정 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR101637099B1 (ko) * 2014-12-02 2016-07-07 천인관 챔버 클리닝이 가능한 코팅 장치
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR102375158B1 (ko) * 2014-12-26 2022-03-17 삼성디스플레이 주식회사 증착 장치 및 그 구동 방법
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016157312A1 (ja) * 2015-03-27 2016-10-06 堺ディスプレイプロダクト株式会社 成膜装置及び成膜装置のクリーニング方法
EP3095893A1 (en) * 2015-05-22 2016-11-23 Solvay SA A process for etching and chamber cleaning and a gas therefor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP2017028012A (ja) * 2015-07-17 2017-02-02 ラピスセミコンダクタ株式会社 半導体製造装置及び半導体製造方法
FI3325183T3 (fi) * 2015-07-23 2024-02-08 Renmatix Inc Menetelmä likaavan aineen poistamiseksi paineastiasta
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN104962880B (zh) * 2015-07-31 2017-12-01 合肥京东方光电科技有限公司 一种气相沉积设备
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102096577B1 (ko) 2016-12-29 2020-04-02 한화솔루션 주식회사 폴리실리콘 제조 장치
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN108878241B (zh) * 2017-05-10 2021-03-02 北京北方华创微电子装备有限公司 半导体设备和半导体设备的反应腔室的清理方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN109868458B (zh) * 2017-12-05 2021-12-17 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199648A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
WO2020014113A1 (en) * 2018-07-09 2020-01-16 Lam Research Corporation Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112823406B (zh) * 2018-09-26 2024-03-12 应用材料公司 用于等离子体处理腔室的导热间隔件
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7190915B2 (ja) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 基板処理装置の洗浄方法、および基板処理装置
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
KR102100770B1 (ko) * 2019-01-30 2020-04-14 김경민 밸브 장치, 이를 포함하는 기판 처리 설비 및 처리 방법
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102470479B1 (ko) 2021-01-22 2022-11-25 김경민 밸브 장치 및 그 제어 방법
CN112820619A (zh) * 2021-03-06 2021-05-18 东莞市峰谷纳米科技有限公司 一种等离子表面清洁装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN115896744A (zh) * 2021-08-17 2023-04-04 北京北方华创微电子装备有限公司 半导体工艺设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114574837B (zh) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 等离子体工艺设备中用于解决寄生等离子体的结构及方法
WO2023229953A1 (en) * 2022-05-23 2023-11-30 Lam Research Corporation In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法
CN115491657B (zh) * 2022-09-21 2023-09-19 拓荆科技股份有限公司 清洁方法、存储介质、驱动电路及半导体加工设备
CN116251803B (zh) * 2023-04-12 2023-09-22 东莞市晟鼎精密仪器有限公司 基于微波等离子干法清洗氮化硅涂层的石墨舟清洗设备

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656707A (en) * 1970-04-16 1972-04-18 Marotta Scientific Controls Poppet valve assembly with straight-through flow
US3696831A (en) * 1971-11-11 1972-10-10 John H Fowler Valve
FR2253972B1 (ja) * 1973-12-11 1978-02-24 Elf Aquitaine
US3963214A (en) * 1974-11-26 1976-06-15 Mueller Co. Resilient seated gate valve with split body
US4162058A (en) * 1977-05-19 1979-07-24 Mueller Co. Resilient seated gate valve with improved seat arrangement
US4281819A (en) * 1978-03-23 1981-08-04 Linder Morris B Balanced stem gate valve
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4682757A (en) * 1986-07-18 1987-07-28 Joy Manufacturing Company Secondary backseat for gate valve
US4859303A (en) * 1987-10-09 1989-08-22 Northern Telecom Limited Method and apparatus for removing coating from substrate
US5031571A (en) * 1988-02-01 1991-07-16 Mitsui Toatsu Chemicals, Inc. Apparatus for forming a thin film on a substrate
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
EP0460700B1 (en) * 1990-06-07 1997-04-16 Applied Materials, Inc. Corrosion-resistant protective coating on aluminum substrate or surface and method of forming same
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
FR2713667B1 (fr) * 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat non métallique.
JP3165322B2 (ja) * 1994-03-28 2001-05-14 東京エレクトロン株式会社 減圧容器
JP3122311B2 (ja) * 1994-06-29 2001-01-09 東京エレクトロン株式会社 成膜処理室への液体材料供給装置及びその使用方法
US6215806B1 (en) * 1996-03-07 2001-04-10 Canon Kabushiki Kaisha Excimer laser generator provided with a laser chamber with a fluoride passivated inner surface
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
FI111329B (fi) * 1996-06-17 2003-07-15 Antti Lillbacka Menetelmä hammaslangan kiristämiseksi, kiristysväline ja hammaslankain
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US5908046A (en) * 1997-03-12 1999-06-01 Erc Industries, Inc. Back seat rising stem gate valve
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6033992A (en) * 1997-08-19 2000-03-07 Micron Technology, Inc. Method for etching metals using organohalide compounds
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP3567070B2 (ja) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
DE19847848C1 (de) * 1998-10-16 2000-05-11 R3 T Gmbh Rapid Reactive Radic Vorrichtung und Erzeugung angeregter/ionisierter Teilchen in einem Plasma
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009152603A (ja) * 2007-12-18 2009-07-09 Asm Japan Kk プラズマcvd装置及びその方法
JP2010098158A (ja) * 2008-10-17 2010-04-30 Seiko Epson Corp プラズマcvd装置用サセプタ及びその製造方法、並びに、プラズマcvd装置、並びにその保守方法、並びに半導体装置の製造方法
JP2013062342A (ja) * 2011-09-13 2013-04-04 Toshiba Corp 成膜装置のクリーニング方法
WO2020166823A1 (ko) * 2019-02-13 2020-08-20 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치

Also Published As

Publication number Publication date
US20070227554A1 (en) 2007-10-04
JP4417362B2 (ja) 2010-02-17
EP1118692A1 (en) 2001-07-25
JP3902408B2 (ja) 2007-04-04
KR100767762B1 (ko) 2007-10-17
JP2001274105A (ja) 2001-10-05
US20040144400A1 (en) 2004-07-29
US20040144489A1 (en) 2004-07-29
US6736147B2 (en) 2004-05-18
US20020011210A1 (en) 2002-01-31
KR20010076318A (ko) 2001-08-11

Similar Documents

Publication Publication Date Title
JP4417362B2 (ja) Cvdチャンバのクリーニング方法
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US8366953B2 (en) Plasma cleaning method and plasma CVD method
US7500445B2 (en) Method and apparatus for cleaning a CVD chamber
TWI724801B (zh) 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
US20050011445A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
KR100447284B1 (ko) 화학기상증착 챔버의 세정 방법
US20080044593A1 (en) Method of forming a material layer
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US20060228473A1 (en) Semiconductor-processing apparatus provided with self-cleaning device
US20030029473A1 (en) Chamber cleaning via rapid thermal process during a cleaning period
JP2013503490A (ja) ガス分配シャワーヘッドおよび洗浄方法
US6047713A (en) Method for cleaning a throttle valve
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
JP2004263209A (ja) 真空処理装置
JP2000323467A (ja) 遠隔プラズマ放電室を有する半導体処理装置
KR20010104260A (ko) 화학 증착챔버 내부의 오염물을 제거하기 위한 가스 반응
US20110203610A1 (en) Remote plasma cleaning method and apparatus for applying said method
JP3456933B2 (ja) 半導体処理装置のクリーニング方法および半導体処理装置
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
KR20010106232A (ko) 화학 증착 공정의 개선 방법
KR20010104261A (ko) 화학 증착을 개선하기 위한 챔버의 조절
JP2004137556A (ja) 半導体製造装置
US6217660B1 (en) Method for cleaning a throttle valve and apparatus

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091027

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091125

R150 Certificate of patent or registration of utility model

Ref document number: 4417362

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131204

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term