TWI724801B - 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法 - Google Patents
用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法 Download PDFInfo
- Publication number
- TWI724801B TWI724801B TW109105955A TW109105955A TWI724801B TW I724801 B TWI724801 B TW I724801B TW 109105955 A TW109105955 A TW 109105955A TW 109105955 A TW109105955 A TW 109105955A TW I724801 B TWI724801 B TW I724801B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- plasma source
- remote plasma
- wall surface
- processing chamber
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
Abstract
本揭露內容的實施例一般關於用以調節遠端電漿產生器的內壁表面之方法。在一個實施例中,提供了一種用以處理基板的方法。所述方法包括下列步驟:將遠端電漿源的內壁表面暴露於處在激發態之調節氣體,以鈍化遠端電漿源的內壁表面,其中該遠端電漿源透過導管耦接至處理腔室,其中基板設置於處理腔室中,且調節氣體包含含氧氣體、含氮氣體、或前述氣體之組合。已觀察到所述方法能增進處理腔室中的解離/重組速率及電漿耦合效率,且因此提供了晶圓至晶圓之間的可重複且穩定的電漿源表現。
Description
本揭露內容的實施例一般關於調節遠端電漿源的方法。
電漿增強化學氣相沉積(PECVD)製程是將電磁能量施加到至少一種前驅物氣體或蒸氣,以將前驅物轉變成反應性電漿的一種製程。形成電漿可降低形成膜所需的溫度、增加形成速率或二者皆可。可在處理腔室內部產生電漿(即,原位),或在遠端電漿產生器中產生電漿,所述遠端電漿產生器位在處理腔室的遠端。遠端電漿產生器可提供諸多優點。舉例而言,遠端電漿產生器可對不具有原位電漿系統的沉積系統提供電漿能力(plasma capability)。使用遠端電漿產生器也可最小化電漿與基板及腔室部件的交互作用,從而防止處理腔室的內部有電漿形成製程的非期望副產物。
遠端電漿產生器一般具有保護性陽極化鋁塗層,以保護鋁質內壁不退化。然而,陽極化鋁塗層通常是有孔洞的,且傾向產生表面反應。因此,由於陽極化塗層在電漿清潔環境中會退化,因而限制了陽極化鋁塗層的壽命。鋁質表面上方的保護性陽極化塗層失效會導致下游反應器腔室內的過量顆粒產生(particulate generation)。此外,由於保護性陽極化塗層的表面狀況隨著製程持續而有所改變,因此下游反應器腔室也遭遇不穩定的電漿表現。因此,晶圓至晶圓之間的晶圓沉積/蝕刻速率、膜均勻性及電漿耦合效率會降低。
由於可能進行頻繁的腔室清潔以穩定腔室狀況,腔室清潔化學物(如NF3
)將會使陽極化塗層以更快的速率退化。在遠端電漿源使用AlN電漿塊體(plasma block)或陽極化電漿塊體的某些例子中,遠端電漿源內的表面狀況將隨著時間加上沉積或清潔化學物而改變。電漿塊體的表面狀況之此改變不會提供可重複的電漿表現,而導致隨時間不一致的晶圓對晶圓表現。
因此,有需要用於調節遠端電漿產生器的表面之方法,以提供穩定而可重複的電漿表現,同時維持基板產量。
本揭露內容的實施例一般關於調節遠端電漿產生器的內壁表面之方法。在一個實施例中,提供了處理基板的方法。該方法包括下列步驟:將自由基源的內壁表面暴露於處在激發態之調節氣體,以鈍化自由基源的內壁表面,其中自由基源透過自由基導管耦接至處理腔室,其中基板設置於該處理腔室中,且調節氣體包含含氧氣體、含氮氣體、或前述氣體之組合。
在另一實施例中,所述方法包括下列步驟:(a)將自由基源的內壁表面暴露於處在激發態之調節氣體,以鈍化自由基源的內壁表面,其中自由基源透過自由基導管耦接至處理腔室,其中基板設置於處理腔室中,且調節氣體包含含氧氣體、含氮氣體、或前述氣體之組合;(b)於該處理腔室中,使用來自自由基源之自由基,於來自一批次的基板中之N個數的基板上進行一系列的製程,其中N係基板的整數數量並介於1與20之間;以及(c)重複步驟(a)及(b),直到該批次的基板中的最後一個基板經處理並從處理腔室移出為止。
在又一實施例中,所述方法包括下列步驟:將自由基源的內壁表面暴露於調節氣體,其中調節氣體包含含氧氣體、含氮氣體或前述氣體之組合;於自由基源中,自調節氣體產生電漿,以鈍化自由基源的內壁表面;以及於處理腔室中,使用來自自由基源之自由基,於N個數的基板上進行一系列的製程,其中N係基板的整數數量並介於1與20之間。
第1圖為根據本揭露內容的實施例之用以形成介電膜之設備100的剖面視圖。在一個實施例中,設備100包括處理腔室102以及自由基源(radical source) 104,自由基源104耦接至處理腔室102。自由基源104可為能產生自由基的任何合適來源。自由基源104可為遠端電漿源,如射頻(RF)或超高射頻(very high radio frequency,VHRF)、電容式耦合電漿(capacitively coupled plasma,CCP)源、感應式耦合電漿(inductively coupled plasma,ICP)源、微波感應(microwave induced,MW)電漿源、電子迴旋加速共振(electron cyclotron resonance,ECR)腔室,或高密度電漿(high density plasma,HDP)腔室。或者,自由基源104可為紫外線(UV)源或熱線化學氣相沉積(hot wire chemical vapor deposition,HW-CVD)腔室的絲狀體(filament)。自由基源104可包括一或多個氣體入口106,且自由基源104可藉由自由基導管108耦接至處理腔室102。一或多種製程氣體可透過一或多個氣體入口106進入自由基源104,所述製程氣體可為自由基形成氣體(radical-forming gas)。所述一或多種製程氣體可包含含氧氣體、含氮氣體、含氫氣體、或上述氣體的任何組合。在自由基源104中產生的自由基可行進經由與處理腔室102耦接的自由基導管108進入處理腔室102。
自由基源104可具有陽極化塗層施加至鋁質內部腔室壁,以保護下方鋁質內部腔室壁不受侵蝕或分解。在多個實施例中,陽極化保護塗層可由氧化鋁或氮化鋁形成。
自由基導管108為蓋體組件112的一部分,蓋體組件112也包括自由基空腔110、頂板114、蓋緣(lid rim) 116及雙區噴淋頭118。自由基導管108可包含實質上不與自由基反應的材料。舉例而言,自由基導管108可包含AlN、SiO2 、
Y2
O3 、
MgO、陽極化Al2
O3
、藍寶石、陶瓷(所述陶瓷含有Al2
O3
、藍寶石、AlN、Y2
O3
、MgO或塑膠中之一或多者)。合適的SiO2
材料之代表性範例為石英。替代或附加地,在操作中與自由基接觸之自由基導管108的表面上可具有塗層。所述塗層也可包含AlN、SiO2
、Y2
O3
、MgO、陽極化Al2
O3
、藍寶石、陶瓷(所述陶瓷含有Al2
O3
、藍寶石、AlN、Y2
O3
、MgO或塑膠中之一或多者)。若使用塗層的話,塗層的厚度可介於約1 µm與約1 mm之間。可使用噴灑塗佈製程來施加塗層。自由基導管108可被設置在自由基導管支撐元件120並被自由基導管支撐元件120所支撐。自由基導管支撐元件120可設置在頂板114上,而頂板114靠在蓋緣116上。
自由基空腔110位於自由基導管108下方並耦接至自由基導管108,且在自由基源104中產生的自由基通過自由基導管108行進至自由基空腔110。自由基空腔110可由頂板114、蓋緣116及雙區噴淋頭118所界定。視情況,自由基空腔110可包括襯裡122。襯裡122可覆蓋頂板114及蓋緣116的表面,所述頂板114及蓋緣116的表面位在自由基空腔110內。襯裡122可包含實質上不與自由基反應的材料。舉例而言,襯裡122可包含AlN、SiO2
、Y2
O3
、MgO、陽極化Al2
O3
、藍寶石、陶瓷(所述陶瓷含有Al2
O3
、藍寶石、AlN、Y2
O3
、MgO或塑膠中之一或多者)。替代或附加地,與自由基接觸之自由基空腔110的表面可由實質上不與自由基反應的材料構成或塗佈有實質上不與自由基反應的材料。舉例而言,所述表面可由AlN、SiO2
、Y2
O3
、MgO、陽極化Al2
O3
、藍寶石、陶瓷(所述陶瓷含有Al2
O3
、藍寶石、AlN、Y2
O3
、MgO或塑膠中之一或多者)構成,或塗佈有AlN、SiO2
、Y2
O3
、MgO、陽極化Al2
O3
、藍寶石、陶瓷(所述陶瓷含有Al2
O3
、藍寶石、AlN、Y2
O3
、MgO或塑膠中之一或多者)。若使用塗層的話,塗層的厚度可介於約1 µm與約1 mm之間。藉由不消耗所產生的自由基,可增加對基板(所述基板設置於處理腔室102中)的自由基通量(radical flux)。
可將離子過濾器123設置於自由基空腔110中,介於頂板114與雙區噴淋頭118之間。離子過濾器123可為電性接地之經穿孔的板。若自由基是在電漿內產生,則在所述電漿內產生的離子、電子及紫外線輻射可被離子過濾器123阻擋,以僅將自由基導向雙區噴淋頭118,並防止對已沉積的膜造成損害。離子過濾器123也可控制通過離子過濾器123之自由基的數量。自由基接著通過複數個管體124以進入處理區域128,所述複數個管體124設置於雙區噴淋頭118中。雙區噴淋頭118可進一步包括複數個開口126,複數個開口126的直徑小於複數個管體124的直徑。複數個開口126連接至內容積(internal volume) (未繪示),內容積未與複數個管體124流體連通。一或多個流體源119可耦接雙區噴淋頭118,用以將流體混合物導入處理腔室102的處理區域128。流體混合物可包括前驅物、成孔劑(porogen)及/或載體流體。流體混合物可為氣體及液體之混合物。
處理腔室102可包括蓋體組件112、腔室主體130及支撐組件132。支撐組件132可至少部分地設置於腔室主體130內。腔室主體130可包括狹縫閥135,以提供通路至處理腔室102的內部。腔室主體130可包括襯裡134,襯裡134可覆蓋腔室主體130的內部表面。襯裡134可包括一或多個通孔136以及形成於襯裡134中的泵送通道138,泵送通道138流體連通真空系統140。通孔136可提供流動路徑給氣體進入泵送通道138,泵送通道138可提供出口給處理腔室102內的氣體。
真空系統140可包括真空埠142、閥144及真空泵146。真空泵146透過真空埠142與泵送通道138流體連通。通孔136容許泵送通道138與腔室主體130內的處理區域128流體連通。處理區域128可由雙區噴淋頭118的下表面148與支撐組件132的上表面150所界定,且處理區域128被襯裡134包圍。
支撐組件132可包括支撐元件152,以支撐基板(未繪示),以在腔室主體130內處理基板。基板可為任何標準晶圓尺寸,例如,舉例而言,300 mm。或者,基板可大於300 mm,如450 mm或更大。根據操作溫度,支撐元件152可包含氮化鋁(AlN)或鋁。支撐元件152可經配置以夾持基板,且支撐元件152可為靜電夾盤或真空夾盤。
支撐元件152可透過軸桿156耦接舉升機構154,軸桿156延伸穿過置中開口158,置中開口158形成於腔室主體130的底表面中。舉升機構154可藉由風箱(bellow) 160而彈性地密封腔室主體130,風箱160可防止真空從軸桿156周圍洩漏。舉升機構154可容許支撐元件152在腔室主體130內於處理位置與較低的遞送位置之間垂直移動。遞送位置稍低於狹縫閥135的開口。在操作期間,為了最大化基板表面處的自由基通量,可使介於基板與雙區噴淋頭118之間的間隔最小化。舉例而言,所述間隔可介於約100 mm與約5,000 mm之間。可以使舉升機構154能轉動軸桿156,而使軸桿156能轉動支撐元件152,導致設置於支撐元件152上的基板在操作期間被轉動。
一或多個加熱元件162及冷卻通道164可埋設於支撐元件152中。加熱元件162及冷卻通道164可被用來控制操作期間之基板的溫度。加熱元件162可為任何合適的加熱元件,如一或多種電阻式加熱元件。加熱元件162可被連接到一或多個電源(未繪示)。加熱元件162可受到個別地控制,以具有對多區域加熱或冷卻之獨立加熱及/或冷卻控制。由於具有對多區域加熱及冷卻之獨立控制能力,可在任何給定的製程條件下增進基板溫度輪廓(temperature profile)。冷卻劑可流經通道164,以冷卻基板。支撐元件152可進一步包括氣體通路,所述氣體通路延伸至上表面150,以將冷卻氣體流至基板的背側。
可將RF源耦接至雙區噴淋頭118或支撐元件152。RF源可為低頻率、高頻率或超高頻率。在一個實施例中,雙區噴淋頭118耦接至RF源且支撐元件152接地,如第1圖所示。在另一實施例中,雙區噴淋頭118接地,且支撐元件152耦接至RF源。在任一實施例中,在操作期間,可於處理區域128中,介於雙區噴淋頭118與支撐元件152之間,形成電容式耦合電漿。當自由基源為遠端電漿源時,於處理區域128中形成的電容式耦合電漿可附加至自由基源中形成的電漿。可以DC源來偏壓支撐元件152,以增加離子轟擊。
第2圖繪示根據本揭露內容的實施例,用以調節第1圖之自由基源104的方法200。應注意到,方法200可應用至位在處理腔室遠端之任何遠端電漿源,其中基板設置在處理腔室中。可在處理腔室中的各基板處理(如,沉積或蝕刻製程)之前、期間或之後進行方法200。在某些實施例中,可在已處理預定數目的基板(如約2至約15個基板)之後,周期性地進行方法200。在這樣的例子中,可於基板不存在於處理腔室中時進行方法200。應注意到,因為可在不偏離本揭露內容的基本範疇之情況下加入、刪除及/或重新排序一或多個步驟,所以第2圖中所繪示的步驟順序不欲作為對本文所描述之揭露內容之範疇的限制。
於方塊202,可視情況以清潔氣體清洗自由基源104。可自清潔氣體源經過一或多個氣體入口106將清潔氣體導入自由基源104。在適於有效地從自由基源104移除任何不想要的殘留物(debris)或副產物之製程條件下,清潔氣體可經熱活化及/或電漿輔助。範例清潔氣體可包括,但不限於NF3
、NH3
、F2
、CF4
、C2
F6
、C4
F8
、SF6
、CHF3
、CF6
、H2
、CCl4
、C2
Cl6
或前述氣體的任何組合。視情況,清潔氣體可進一步包括惰性氣體,如氬或氦。在某些實施例中,如將於以下方塊204處所描述般,清潔氣體可與調節氣體一起被導入自由基源104。在某些實施例中,可在處理腔室102中進行以上清潔製程。可進行清潔製程達約3秒至約300秒,視每次清潔之間在處理腔室中處理的基板數量而定。
於方塊204,可自調節氣體源經由一或多個氣體入口106將調節氣體導入自由基源104。在多種實施例中,調節氣體可包括含氧氣體、含氮氣體或該等氣體之組合。範例含氧氣體可包括,但不限於以下一或多者:氧(O2
)氣體、臭氧(O3
)氣體、氧化亞氮(N2
O)、一氧化氮(NO)、一氧化碳(CO)、二氧化碳(CO2
)、水蒸氣(H2
O)或該等氣體的任何組合。範例含氮氣體可包括,但不限於以下一或多者:氨(NH3
)、氮(N2
)、聯氨(N2
H4
)、一氧化氮(NO)、氧化亞氮(N2
O)、二氧化氮(NO2
)或該等氣體的任何組合。若自由基源104的陽極化保護性塗層為氧化鋁的話,使用含有含氧氣體的調節氣體可為有利的。若自由基源104的陽極化保護性塗層為氮化鋁的話,使用含有含氮氣體的調節氣體可為有利的。在某些實施例中,包含含氧氣體的調節氣體可被使用在自由基源104的陽極化保護性塗層為氮化鋁的例子中。在某些實施例中,包含含氮氣體的調節氣體可被使用在自由基源104的陽極化保護性塗層為氧化鋁的例子中。
化學惰性氣體,如氦氣、氮氣或氬氣,可與調節氣體一起被流入處理腔室。若使用惰性氣體的話,可以約1:1至約1:20 (如約1:6至約1:15,例如約1:10)的惰性氣體對調節氣體比例來導入惰性氣體。在一個實施例中,可以介於約2000 sccm與約20000 sccm之間的流速,且在約0.1托耳至約20托耳的腔室壓力下將調節氣體導入自由基源104。
於方塊206,可在自由基源104中由調節氣體產生電漿,以鈍化或恢復自由基源104的內壁表面。在某些實施例中,可使處於激發態的調節氣體從遠端電漿源(所述遠端電漿源與自由基源分離)流入自由基源104,以取代在自由基源104內點燃電漿。本文所用之術語「激發態(excited state)」指的是氣體中的至少某些氣體分子處於振動激發、解離及/或離子化狀態。或者,可使用無電漿製程來進行自由基源104的內壁表面之鈍化。也就是說,將調節氣體導入自由基源104,並在適於使調節氣體熱分解之升高的溫度下激發或解離調節氣體。
在自由基源104為電容式耦合電漿(CCP)類型的來源之例子中,於鈍化期間,可將自由基源104維持在約0.1托耳至約20托耳的壓力(例如約1托耳至約10托耳),及約250°C至約400°C的溫度下。若使用RF功率來解離調節氣體的話,供應至自由基源104的RF功率密度可介於約0.001 W/cm2
至約5 W/cm2
,如自約0.01 W/cm2
至約至約1 W/cm2
,例如約0.04 W/cm2
至約0.07 W/cm2
。
可根據被處理基板的數量(即,基板處理時間),及/或在各次鈍化製程之間,於處理腔室102內之基板上進行的製程(如,沉積或蝕刻製程)的持續時間(即,基板處理時間),來變化自由基源104的內壁表面之鈍化的處理時間。在多數例子中,鈍化製程時間可介於約2秒與約30秒之間,如約3秒至約25秒,例如約10秒。在多種實施例中,鈍化製程時間與基板處理時間可處在約1:5至約1:30的比例,如約1:8至約1:20,例如約1:12。
於方塊208,一旦自由基源104的內壁表面已被鈍化或恢復,可於下游處理腔室(如,第1圖中的處理腔室102)中,在來自一批次的基板中之N個數的基板(其中N為基板的整數數量)上進行一系列的製程。在一個實施例中,N的範圍介於1與20個基板之間,如介於約3個基板與約10個基板之間,例如約5個基板。所述製程可為任何沉積及/或蝕刻製程,用於沉積或蝕刻,例如,氧化物或氮化物材料、含矽材料或含碳材料(前述材料可經摻雜或未經摻雜)。沉積及/或蝕刻製程可使用來自自由基源的自由基。在一個實例中,沉積製程為可流動性化學氣相沉積(CVD),使用含矽前驅物及NH3
/O2
/N2
/H2
氧化劑化學物來沉積介電材料。於沉積或蝕刻製程期間,可將含氧氣體及/或含氮氣體流入自由基源104,以穩定電漿。
於方塊210,在進行沉積/蝕刻製程序列之後,可以清潔製程清洗處理腔室102的內壁表面。清潔製程可與就方塊202於上文描述之清潔製程相同。在一個實例中,可使用清潔氣體來清潔處理腔室102,所述清潔氣體可包含NF3
、氨或該等氣體之組合。在處理腔室102的清潔期間,可將含氧氣體(如就方塊204於上文描述之含氧氣體)流入自由基源104來調節自由基源104的內壁表面。
於清潔期間,可將流速介於約2000 sccm與約20000 sccm之間的清潔氣體導入處理腔室102。可將處理腔室102維持在約0.1托耳至約20托耳的壓力下。RF功率,若使用RF功率的話,可將密度約0.001 W/cm2
至約5 W/cm2
(如自約0.01 W/cm2
至約1 W/cm2
,例如,約0.04 W/cm2
至約0.07 W/cm2
)的RF功率供應至處理腔室102,以活化清潔氣體。
可重複方塊202至方塊210所描述之製程,直到以所述製程處理完批次基板中的最後一個基板並將該基板移出處理腔室102為止。
可考慮且或許可將多種製程加入方法200。在某些實施例中,在沉積或蝕刻製程之前(即,在方塊208之前),或在處理腔室102被清潔之後(即,在方塊210之後),可視情況執行調整製程(seasoning process),以在經清潔之處理腔室102的壁上沉積調整層(seasoning layer)。在這樣的例子中,可在調整製程之前及/或之後立刻進行如方塊204及206所描述之鈍化製程。保護性層可根據處理腔室102中所進行的製程而變化。舉例而言,若欲在基板上沉積含氮層,則可在處理腔室102的腔室表面上沉積氮化矽的調整層。調整層可作為黏合層,致使相較於黏著至處理腔室102的內部腔室表面,後續沉積的含氮材料更傾向於黏著至調整層。因此,在基板處理期間,殘餘含氮材料較不會被去除(dislodge)。可在處理腔室102中無基板時執行調整製程。或者,在調整製程期間,可將犧牲(虛設)基板安置於處理腔室102中。
在沉積/蝕刻製程之後於處理腔室102中進行清潔製程的例子中,可視情況進行調節製程,以移除來自清潔製程之非期望的含氟(F)或氮(N)汙染物,該等汙染物接合至處理腔室102的腔室表面或或吸附於處理腔室102的腔室表面上。在一個實施例中,可藉由將1200 sccm的氫流入處理腔室102達30秒,使用300瓦的功率生成電漿,以在處理腔室102中產生含氫電漿。氫電漿可與處理腔室102中存在的氟反應,並形成揮發性含HF蒸氣,所述揮發性含HF蒸氣可立即透過腔室排放部移除。可將處理腔室102維持在用於後續沉積/蝕刻製程的溫度下,並將處理腔室102維持在約1至10托耳的壓力下。介於噴淋頭118與支撐組件132之間的電極間隔可為約800密耳至1500密耳。
本揭露內容的益處在於提供了藉由將遠端電漿產生器的內壁表面暴露於電漿以鈍化或恢復所述內壁表面的方法,所述電漿可由調節氣體形成,而調節氣體可包含含氧氣體、含氮氣體或該等氣體之組合。所述進步性製程可恢復並穩定遠端電漿源的內壁表面之表面狀況。因此,即使在電漿清潔環境中(所述電漿清潔環境可致使下游反應器腔室中的顆粒產生(particulate generation)最小化),仍可增進保護性陽極化鋁塗層的壽命。所述進步性製程因而可在後續沉積期間達成增進沉積速率、增進沉積均勻性,及增進處理腔室中的電漿耦合效率等效果。藉此,可獲得晶圓至晶圓之間的可重複且穩定的電漿源表現。
由於可能進行頻繁的腔室清潔來穩定腔室狀況,腔室清潔化學物(如NF3
)將會使陽極化塗層以更快的速率退化。在遠端電漿源使用AlN電漿塊體(plasma block)或陽極化電漿塊體的某些例子中,遠端電漿源內的表面狀況將隨著時間加上沉積或清潔化學物而改變。電漿塊體的表面狀況之此改變不會提供可重複的電漿表現,而導致隨時間不一致的晶圓對晶圓表現。
儘管前文導向本揭露內容的實施例,可在不悖離本揭露內容之基本範疇的情況下設計本揭露內容的其它及進一步的實施例,且本揭露內容之範疇係由以下申請專利範圍所決定。
100:設備
102:處理腔室
104:自由基源
106:氣體入口
108:自由基導管
110:自由基空腔
112:蓋體組件
114:頂板
116:蓋緣
118:雙區噴淋頭
119:流體源
120:支撐元件
122:襯裡
123:離子過濾器
124:管體
126:開口
130:腔室主體
132:支撐組件
134:襯裡
135:狹縫閥
136:通孔
138:泵送通道
140:真空系統
142:真空埠
144:閥
146:真空泵
148:下表面
150:上表面
152:支撐元件
154:舉升機構
156:軸桿
158:開口
160:風箱
162:加熱元件
164:冷卻通道
200:方法
202~210:方塊
為能詳細瞭解本揭露內容以上所載特徵,可參閱多個實施例閱讀以上扼要整理之本揭露內容的更具體說明內容,且部分實施例圖示於附圖中。然而應注意,該等附圖僅繪示代表性實施例,故而不應視為本揭露內容範圍之限制,本揭露內容容許做出其他等效實施例。
第1圖為根據本揭露內容的實施例之用以形成介電膜之設備的剖面視圖。
第2圖繪示根據本揭露內容的實施例之用以調節第1圖的自由基源之方法。
為幫助理解,盡可能地使用相同元件符號代表該等圖式中共有的相同元件。無需進一步詳述,便能思及可將一個實施例的元件和特徵有利地併入其他實施例中。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記)
無
200:方法
202~210:方塊
Claims (16)
- 一種用以處理一基板的方法,包含下列步驟:將一遠端電漿源的一內壁表面暴露於處在激發態之一調節氣體,以鈍化該遠端電漿源的該內壁表面,該遠端電漿源耦接一處理腔室並暴露於該調節氣體達一鈍化製程時間,其中該遠端電漿源的該內壁表面係由氧化鋁或氮化鋁形成,該調節氣體係一含氧氣體或一含氮氣體,該含氧氣體包含:氧(O2)氣體、臭氧(O3)氣體、氧化亞氮(N2O)、一氧化氮(NO)、一氧化碳(CO)、二氧化碳(CO2)、水蒸氣(H2O)或前述氣體之任何組合,且該含氮氣體包含:氨(NH3)、氮(N2)、聯氨(N2H4)、一氧化氮(NO)、氧化亞氮(N2O)、二氧化氮(NO2)或前述氣體之任何組合;在以該調節氣體鈍化該遠端電漿源的該內壁表面之後,使用在該遠端電漿源中產生之製程自由基,於該處理腔室中之N個數的基板上進行一系列的沉積或蝕刻製程,於該N個數的基板上進行之該系列的沉積或蝕刻製程進行達一基板處理時間,使得該鈍化製程時間對該基板處理時間之一比例為1:5至1:30。
- 如請求項1所述之方法,其中該遠端電漿源的該內壁表面係由氧化鋁形成,且該調節氣體係一含氧氣體。
- 如請求項1所述之方法,其中該遠端電漿源的該內壁表面係由氮化鋁形成,且該調節氣體係一含氮氣體。
- 如請求項1所述之方法,其中該調節氣體進一步包含一化學惰性氣體,且該惰性氣體係處於1:6至1:15之惰性氣體對調節氣體比例。
- 如請求項1所述之方法,其中N係介於1與20間之基板的整數數量。
- 如請求項1所述之方法,進一步包含下列步驟:在將一遠端電漿源的一內壁表面暴露於一調節氣體之前,將該處理腔室的一內壁表面暴露於一清潔氣體,其中該清潔氣體包含NF3、NH3、F2、CF4、C2F6、C4F8、SF6、CHF3、CF6、H2、CCl4、C2Cl6或前述氣體之任何組合。
- 如請求項6所述之方法,進一步包含下列步驟:於該處理腔室之清潔期間,將該遠端電漿源的該內壁表面暴露於一含氧氣體。
- 一種用以處理一基板的方法,包含下列步驟:(a)將一遠端電漿源的一內壁表面暴露於處在激發態之一調節氣體,以鈍化該遠端電漿源的該內壁表面,該 遠端電漿源耦接一處理腔室,且該調節氣體包含一含氧氣體、一含氮氣體、或前述氣體之組合,該遠端電漿源暴露於該調節氣體達一鈍化製程時間;(b)在以該調節氣體鈍化該遠端電漿源的該內壁表面之後,使用在該遠端電漿源中產生之製程自由基,於該處理腔室中之來自一批次的基板之N個數的基板上進行一系列的沉積或蝕刻製程達一基板處理時間;以及(c)重複步驟(a)及(b),直到該批次的基板中的最後一個基板經處理並從該處理腔室移出為止,其中該鈍化製程時間對該基板處理時間之一比例為1:5至1:30。
- 如請求項8所述之方法,進一步包含下列步驟:在(a)之前,將該處理腔室的一內壁表面暴露於一清潔氣體,其中該清潔氣體包含NF3、NH3、F2、CF4、C2F6、C4F8、SF6、CHF3、CF6、H2、CCl4、C2Cl6或前述氣體之任何組合。
- 如請求項9所述之方法,進一步包含下列步驟:於該處理腔室之清潔期間,將該遠端電漿源的該內壁表面暴露於一含氧氣體。
- 如請求項8所述之方法,進一步包含下列步驟: 在(b)之後,將該處理腔室的一內壁表面暴露於一清潔氣體,其中該清潔氣體包含NF3、NH3、F2、CF4、C2F6、C4F8、SF6、CHF3、CF6、H2、CCl4、C2Cl6或前述氣體之任何組合。
- 如請求項11所述之方法,進一步包含下列步驟:於該處理腔室之清潔期間,將該遠端電漿源的該內壁表面暴露於一含氧氣體。
- 如請求項8所述之方法,其中該調節氣體進一步包含一化學惰性氣體,且該惰性氣體係處於1:6至1:15之惰性氣體對調節氣體比例。
- 如請求項8所述之方法,其中該調節氣體係在該遠端電漿源中被一RF功率激發或被熱激發,或在與該遠端電漿源分離之一自由基源中被一RF功率激發或被熱激發。
- 一種用以處理一基板的方法,包含下列步驟:將一遠端電漿源的一內壁表面暴露於一調節氣體,該調節氣體包含一含氧氣體、一含氮氣體或前述氣體之組合,該遠端電漿源耦接一處理腔室;於該遠端電漿源中,自該調節氣體產生一電漿,以鈍化該遠端電漿源的該內壁表面;以及在以該調節氣體鈍化該遠端電漿源的該內壁表面之 後,使用在該遠端電漿源中產生之製程自由基,於該處理腔室中之包含N個數的基板之一第一批次的基板上進行一系列的沉積或蝕刻製程,其中該遠端電漿源的該內壁表面的一鈍化時間與用於在N個數的基板上進行一系列的製程之一處理時間的一比例係1:5至1:30;以及重複將該遠端電漿源的該內壁表面暴露於該調節氣體、自該調節氣體產生該電漿以及在後續批次之N個數的基板上進行該系列的沉積或蝕刻製程,其中N係介於1與20間之基板的整數數量。
- 如請求項15所述之方法,其中該調節氣體進一步包含一化學惰性氣體,且該惰性氣體係處於1:6至1:15之惰性氣體對調節氣體比例。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462027051P | 2014-07-21 | 2014-07-21 | |
US62/027,051 | 2014-07-21 | ||
US14/694,676 | 2015-04-23 | ||
US14/694,676 US10192717B2 (en) | 2014-07-21 | 2015-04-23 | Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202033814A TW202033814A (zh) | 2020-09-16 |
TWI724801B true TWI724801B (zh) | 2021-04-11 |
Family
ID=55075148
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104115273A TWI689613B (zh) | 2014-07-21 | 2015-05-13 | 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法 |
TW109105955A TWI724801B (zh) | 2014-07-21 | 2015-05-13 | 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104115273A TWI689613B (zh) | 2014-07-21 | 2015-05-13 | 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US10192717B2 (zh) |
KR (1) | KR102444303B1 (zh) |
CN (2) | CN111286719B (zh) |
TW (2) | TWI689613B (zh) |
WO (1) | WO2016014136A1 (zh) |
Families Citing this family (300)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
TWI670749B (zh) | 2015-03-13 | 2019-09-01 | 美商應用材料股份有限公司 | 耦接至工藝腔室的電漿源 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
DE102017100725A1 (de) | 2016-09-09 | 2018-03-15 | Aixtron Se | CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
TWI791689B (zh) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | 包括潔淨迷你環境之裝置 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) * | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
CN110933956A (zh) * | 2018-07-20 | 2020-03-27 | 株式会社日立高新技术 | 等离子处理装置 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111370282B (zh) * | 2018-12-26 | 2022-06-24 | 江苏鲁汶仪器有限公司 | 一种等离子增强化学气相沉积腔室的清洗方法 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN110747450A (zh) * | 2019-09-12 | 2020-02-04 | 常州比太科技有限公司 | 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230187214A1 (en) * | 2021-12-14 | 2023-06-15 | Tokyo Electron Limited | Remote source pulsing with advanced pulse control |
KR102651336B1 (ko) * | 2023-07-14 | 2024-03-26 | 주식회사 지에스엠 | 축사 악취 모니터링 시스템 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101379213A (zh) * | 2006-02-10 | 2009-03-04 | 应用材料股份有限公司 | 面对等离子的壁的水蒸气钝化 |
TW201323649A (zh) * | 2011-10-04 | 2013-06-16 | Applied Materials Inc | 遠端電漿燒入處理 |
Family Cites Families (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0647730B2 (ja) * | 1985-12-25 | 1994-06-22 | キヤノン株式会社 | 堆積膜形成法 |
US5756222A (en) | 1994-08-15 | 1998-05-26 | Applied Materials, Inc. | Corrosion-resistant aluminum article for semiconductor processing equipment |
US5858464A (en) * | 1997-02-13 | 1999-01-12 | Applied Materials, Inc. | Methods and apparatus for minimizing excess aluminum accumulation in CVD chambers |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
TW465017B (en) | 1999-04-13 | 2001-11-21 | Applied Materials Inc | A corrosion-resistant protective coating for an apparatus and method for processing a substrate |
US6872323B1 (en) * | 2001-11-01 | 2005-03-29 | Novellus Systems, Inc. | In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor |
JP2003264186A (ja) * | 2002-03-11 | 2003-09-19 | Asm Japan Kk | Cvd装置処理室のクリーニング方法 |
US7199023B2 (en) * | 2002-08-28 | 2007-04-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed |
US20050221020A1 (en) * | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
US7109114B2 (en) * | 2004-05-07 | 2006-09-19 | Applied Materials, Inc. | HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance |
KR100580584B1 (ko) * | 2004-05-21 | 2006-05-16 | 삼성전자주식회사 | 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치 |
EP2573206B1 (en) | 2004-09-27 | 2014-06-11 | Gallium Enterprises Pty Ltd | Method for growing a group (iii) metal nitride film |
US8057633B2 (en) * | 2006-03-28 | 2011-11-15 | Tokyo Electron Limited | Post-etch treatment system for removing residue on a substrate |
US7759249B2 (en) * | 2006-03-28 | 2010-07-20 | Tokyo Electron Limited | Method of removing residue from a substrate |
US20080087642A1 (en) * | 2006-09-25 | 2008-04-17 | Sawin Herbert H | Method for removing surface deposits in the interior of a chemical vapor deposition reactor |
US20080083701A1 (en) | 2006-10-04 | 2008-04-10 | Mks Instruments, Inc. | Oxygen conditioning of plasma vessels |
JP4828456B2 (ja) * | 2007-03-08 | 2011-11-30 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
CN101440498A (zh) * | 2007-11-19 | 2009-05-27 | 中芯国际集成电路制造(上海)有限公司 | 一种在沉积前预清洁薄膜表面氧化物的方法 |
US7967913B2 (en) | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
KR20110106889A (ko) * | 2008-12-19 | 2011-09-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 박막 및 웨이퍼 기반의 태양 전지 분야용 미세결정질 실리콘 합금 |
US20110005922A1 (en) | 2009-07-08 | 2011-01-13 | Mks Instruments, Inc. | Methods and Apparatus for Protecting Plasma Chamber Surfaces |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
JP5036849B2 (ja) * | 2009-08-27 | 2012-09-26 | 株式会社日立国際電気 | 半導体装置の製造方法、クリーニング方法および基板処理装置 |
US8742665B2 (en) | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
WO2011119611A2 (en) * | 2010-03-22 | 2011-09-29 | Applied Materials, Inc. | Dielectric deposition using a remote plasma source |
US8999847B2 (en) * | 2010-08-16 | 2015-04-07 | Applied Materials, Inc. | a-Si seasoning effect to improve SiN run-to-run uniformity |
US20130048606A1 (en) | 2011-08-31 | 2013-02-28 | Zhigang Mao | Methods for in-situ chamber dry clean in photomask plasma etching processing chamber |
US8455352B1 (en) * | 2012-05-24 | 2013-06-04 | Applied Materials, Inc. | Method for removing native oxide and associated residue from a substrate |
US20140124788A1 (en) * | 2012-11-06 | 2014-05-08 | Intermolecular, Inc. | Chemical Vapor Deposition System |
CN103219227A (zh) * | 2013-04-09 | 2013-07-24 | 上海华力微电子有限公司 | 等离子体清洗方法 |
CN103352205B (zh) * | 2013-05-31 | 2015-11-25 | 上海华力微电子有限公司 | 化学气相沉积室的清洁方法 |
CN103526177B (zh) * | 2013-09-30 | 2015-12-23 | 上海华力微电子有限公司 | 一种用于非晶碳沉积工艺中的清洗方法 |
-
2015
- 2015-04-23 US US14/694,676 patent/US10192717B2/en not_active Expired - Fee Related
- 2015-04-29 WO PCT/US2015/028352 patent/WO2016014136A1/en active Application Filing
- 2015-04-29 KR KR1020177004737A patent/KR102444303B1/ko active IP Right Grant
- 2015-04-29 CN CN202010101748.6A patent/CN111286719B/zh active Active
- 2015-04-29 CN CN201580039614.3A patent/CN106575609B/zh active Active
- 2015-05-13 TW TW104115273A patent/TWI689613B/zh active
- 2015-05-13 TW TW109105955A patent/TWI724801B/zh active
-
2018
- 2018-11-05 US US16/180,784 patent/US10916407B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101379213A (zh) * | 2006-02-10 | 2009-03-04 | 应用材料股份有限公司 | 面对等离子的壁的水蒸气钝化 |
TW201323649A (zh) * | 2011-10-04 | 2013-06-16 | Applied Materials Inc | 遠端電漿燒入處理 |
Also Published As
Publication number | Publication date |
---|---|
KR20170031239A (ko) | 2017-03-20 |
CN111286719A (zh) | 2020-06-16 |
CN106575609A (zh) | 2017-04-19 |
TW202033814A (zh) | 2020-09-16 |
US20190074163A1 (en) | 2019-03-07 |
US10916407B2 (en) | 2021-02-09 |
CN106575609B (zh) | 2020-03-13 |
KR102444303B1 (ko) | 2022-09-15 |
US10192717B2 (en) | 2019-01-29 |
WO2016014136A1 (en) | 2016-01-28 |
TWI689613B (zh) | 2020-04-01 |
US20160020071A1 (en) | 2016-01-21 |
TW201614094A (en) | 2016-04-16 |
CN111286719B (zh) | 2022-02-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI724801B (zh) | 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法 | |
KR102158307B1 (ko) | 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스 | |
US10424485B2 (en) | Enhanced etching processes using remote plasma sources | |
US9378969B2 (en) | Low temperature gas-phase carbon removal | |
US6923189B2 (en) | Cleaning of CVD chambers using remote source with cxfyoz based chemistry | |
US7862683B2 (en) | Chamber dry cleaning | |
US20150214066A1 (en) | Method for material removal in dry etch reactor | |
US20140342532A1 (en) | Delicate dry clean | |
US10755903B2 (en) | RPS defect reduction by cyclic clean induced RPS cooling | |
US6277235B1 (en) | In situ plasma clean gas injection | |
CN108630578B (zh) | 超高选择性的氮化物蚀刻以形成FinFET器件 | |
TW200525611A (en) | Chamber cleaning method | |
KR20210116679A (ko) | 프로세스 챔버를 세정하기 위한 방법 | |
JP2006319042A (ja) | プラズマクリーニング方法、成膜方法 | |
US11328909B2 (en) | Chamber conditioning and removal processes | |
US20230215699A1 (en) | Method of treating substrate and apparatus for treating substrate | |
US9613819B2 (en) | Process chamber, method of preparing a process chamber, and method of operating a process chamber |