JP2003264186A - Cvd装置処理室のクリーニング方法 - Google Patents

Cvd装置処理室のクリーニング方法

Info

Publication number
JP2003264186A
JP2003264186A JP2002065304A JP2002065304A JP2003264186A JP 2003264186 A JP2003264186 A JP 2003264186A JP 2002065304 A JP2002065304 A JP 2002065304A JP 2002065304 A JP2002065304 A JP 2002065304A JP 2003264186 A JP2003264186 A JP 2003264186A
Authority
JP
Japan
Prior art keywords
gas
cleaning
remote plasma
reaction chamber
plasma discharge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002065304A
Other languages
English (en)
Inventor
Hiroshi Arai
浩史 新井
Hideaki Fukuda
秀明 福田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to JP2002065304A priority Critical patent/JP2003264186A/ja
Priority to US10/385,984 priority patent/US7234476B2/en
Publication of JP2003264186A publication Critical patent/JP2003264186A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Epidemiology (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Public Health (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】クリーニング速度が速く、クリーニングの運用
コストが低くかつ高効率なCVD装置処理室のリモートプ
ラズマクリーニング方法を与える。 【解決手段】本発明に係るCVD装置処理室内部を遠隔プ
ラズマ放電装置を用いてリモートプラズマクリーニング
する方法は、クリーニングガスを遠隔プラズマ放電装置
に供給する工程と、クリーニングガスを遠隔プラズマ放
電装置内で活性化する工程と、活性化されたクリーニン
グガスを処理室内に導入する工程とから成り、クリーニ
ングガスとしてF2ガス及び不活性ガスの混合ガスを使用
することを特徴とする。F2ガスの濃度が10%以上であ
る。クリーニングガスであるF2ガスはF2ガスを不活性ガ
スで所定の濃度に希釈して充填したガスボンベから遠隔
プラズマ放電装置に供給される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体製造用のCV
D装置の処理室内部をクリーニングする方法に関し、特
に、処理室内部をリモートプラズマクリーニングする方
法に関する。
【0002】
【従来技術】半導体製造用のCVD(化学気相成長)装置
若しくはスパッタリング装置等の成膜処理装置では、被
処理体(例えば、半導体ウエハ)表面への成膜処理を連
続して行うと被処理体以外の処理室内壁等に堆積物が付
着する。これが剥離すると後の成膜処理プロセスにおけ
るパーティクル汚染源となり、DRAM等のデバイスの配線
断線若しくは成膜不良を引き起こすことになる。そのた
め、ある一定の周期で処理室内のクリーニングを実行す
る必要がある。
【0003】従来より、特開平6-97154号に開示される
ように、CH4、C2F6、C3F8、CHF3、SF 6、NF3等のPFC(Per
fluorocompound)を含むガスをクリーニングガスとして
反応チャンバに導入し、上下電極間に高周波電力を印加
することによりクリーニングガスをプラズマ化して反応
活性種(主にフッ素ラジカル)を生成し、反応チャンバ
内の堆積物を気体分解により除去する方法(in-situク
リーニング)が行われてきた。
【0004】しかしながら、in-situクリーニングの場
合、膜生成時に使用する上部電極に高周波電力を印加し
てプラズマを生成するため、下部電極であるサセプタと
の間に生成された荷電粒子によりイオン衝突が引き起こ
される。その結果、上部電極表面がスパッタリングさ
れ、副生成物の発生及び電極のダメージといった問題が
生じる。また、PFCを含むガス種は、地球温暖化係数
(=赤外線吸収率×大気寿命)が極めて大きく、長期に
渡る地球環境への影響が懸念される。
【0005】これらの欠点を解決するために、反応チャ
ンバの外部にてプラズマを生成し、そこでクリーニング
ガスを活性化するリモートプラズマクリーニング方法が
開発された。この方法は、クリーニングガスとして主に
NF3が用い、それを遠隔プラズマ放電装置内で解離及び
活性化させ、そこで生成された反応活性種を反応チャン
バ内に導入し内壁等の堆積物を分解除去するというもの
である。
【0006】リモートプラズマクリーニングの場合、反
応チャンバ外部にてプラズマを生成するため、反応チャ
ンバ内に導入されるのは電気的に中性な原子及び分子の
みであり荷電粒子は導入されない。そのため反応チャン
バ内のクリーニングは化学反応のみによるもので、電極
への物理的ダメージを防ぐことが可能である。
【0007】しかし一方で、近年の被処理体の大口径化
に伴い反応チャンバ内部も大容量化しているため、プラ
ズマクリーニングではプラズマ発生部から離れた内壁等
の堆積物の除去が困難となり、クリーニングに要する時
間が増大する傾向にある。クリーニング時間が増大する
と、被処理体の単位枚数当りの処理時間が増大し、装置
の生産性(スループット)の低下に直接繋がる。
【0008】また、特開平10-149989号に開示されてい
るように、遠隔プラズマクリーニング放電装置に用いら
れる印加プラズマ出力値は、500〜1500Wの範囲では供給
ガスの分解が完全ではない。プラズマによって分解され
ない供給ガスが排出されると環境に悪影響を及ぼす危険
性がある。したがって、供給ガスを完全に解離するため
に約3.0〜12kWの出力を用いて活性化する必要がある
が、装置運用コストの観点から、3.0kW以下の出力で効
率良くクリーニングすることが所望される。
【0009】
【発明が解決しようとする課題】これらの問題を解決す
るためには、反応チャンバの大容量化に追従したクリー
ニング速度の高速化及び効率化が必要とされる。そこで
本願の発明者はクリーニングの効率化を大きく左右する
と考えられるクリーニングガスに着目した。反応チャン
バクリーニングに大きく寄与するのはフッ素ラジカルで
あり、その生成量の増加が直接的にクリーニング速度の
向上に繋がると考えられる。ただし、単純に生成量が大
きいだけのガス種が良いのではなく、従来のNF3等と比
べより少ないエネルギーで解離可能(すなわち、単位エ
ネルギー当りのフッ素ラジカル生成量が大)で且つ被処
理体単位枚数当りに必要とされるガス量から算出したガ
スコストの低いガス種が最も望ましい。これらの条件に
最も合致するガスはフッ素2原子から成るF2ガスであ
る。このF2ガスは単位体積当たりのガスコストがNF3
比べ非常に安価である。
【0010】したがって、本発明の目的は、CVD装置の
反応チャンバのクリーニング速度が速いリモートプラズ
マクリーニング方法を与えることである。
【0011】また、本発明の他の目的は、クリーニング
の運用コストが低くかつ高効率なリモートプラズマクリ
ーニング方法を与えることである。
【0012】さらに、本発明の他の目的は、環境に優し
く、地球温暖化の影響が少ないリモートプラズマクリー
ニング方法を与えることである。
【0013】
【課題を解決するための手段】上記目的を達成するため
に、本発明に係る方法は以下の工程から成る。
【0014】本発明に係るCVD装置処理室内部を遠隔プ
ラズマ放電装置を用いてリモートプラズマクリーニング
する方法は、クリーニングガスを遠隔プラズマ放電装置
に供給する工程と、クリーニングガスを遠隔プラズマ放
電装置内で活性化する工程と、活性化されたクリーニン
グガスを処理室内に導入する工程とから成り、クリーニ
ングガスとしてF2ガス及び不活性ガスの混合ガスを使用
することを特徴とする。
【0015】好適には、F2ガスの濃度が10%以上であ
る。
【0016】好適には、クリーニングガスを遠隔プラズ
マ装置に導入する工程は、F2ガスを不活性ガスで所定の
濃度に希釈して充填したガスボンベからF2ガスを供給す
る工程を含む。
【0017】変形的には、クリーニングガスを遠隔プラ
ズマ装置に導入する工程は、F2ジェネレータによって生
成された高純度F2ガスに不活性ガスボンベから供給され
る不活性ガスを混合し、所定の濃度に希釈したF2ガスを
供給する工程を含む。
【0018】具体的には不活性ガスはHe若しくはArであ
る。
【0019】さらに好適には、CVD装置はプラズマCVD装
置であり処理室内部に上部電極及び下部電極を有し、当
該方法がさらに下部電極表面積/基板表面積の値が1.08
〜1.38となるように下部電極を選択する工程と、上部電
極表面積/下部電極表面積の値が1.05〜1.44となるよう
に上部電極を選択する工程と、上部電極温度を200℃〜4
00℃に制御する工程と、を含む。
【0020】
【発明の実施の態様】以下、図面を参照しながら、本発
明を説明する。図1は従来より使用されている平行平板
プラズマCVD装置に遠隔プラズマ放電装置を接続したシ
ステムを略示したものである。被処理体1(典型的に、
半導体ウエハ)は反応チャンバ2内に設置されたセラミ
ック製若しくはアルミ合金製の抵抗加熱型ヒータを含む
下部電極兼サセプタ3上に載置される。被処理体1の表面
に薄膜を形成するための反応ガスは、所定の流量に制御
された後、ライン5よりバルブ6を介して反応チャンバ2
の上部開口部7を経て上部電極兼シャワーヘッド4に供給
される。シャワーヘッド4の上部にはヒータ19が設けら
れ、上部電極兼シャワーヘッド4の温度を所定の温度に
制御する。反応チャンバ2へ導入された反応ガスをプラ
ズマ化するべく高周波電力を供給するために、反応チャ
ンバ2の上部11には高周波電源(8、18)が出力ケーブル
9を通じ整合回路10を介して接続されている。高周波電
源として、膜質制御性を向上させるために13.56MHzの電
源18及び430kHzの電源8の2種類の異なる高周波数電源
が使用される。薄膜形成処理の終了後、反応ガスは排気
口16より、コンダクタンス調整弁17を介して真空ポンプ
(図示せず)により排気される。
【0021】所定の枚数の被処理体1への成膜処理終了
後、リモートプラズマクリーニング装置によって反応チ
ャンバ2内の残存堆積物のクリーニングが行われる。リ
モートプラズマクリーニング装置は、クリーニングガス
供給手段15と、遠隔プラズマ放電装置13とから成る。ク
リーニングガス供給手段15はライン12を通じて遠隔プラ
ズマ放電装置13に結合されている。遠隔プラズマ放電装
置13はライン14を通じて反応チャンバ2の上部開口部7に
結合されている。ライン14の中間にさらに制御バルブを
取り付けてもよい。
【0022】本発明において、以下に詳細に説明するよ
うに、クリーニングガスとしてF2を使用する。F2を使用
することにより、遠隔プラズマ放電装置13から出力され
る反応活性種がフッ素ラジカルのみとなり、クリーニン
グに不必要な生成物が無くなる。また、F2の分子間の結
合解離エネルギー(155kJ/mol)はNF3の結合解離エネル
ギー(828kJ/mol)と比べ極めて低いことから、同量の
フッ素ラジカルを生成するために必要なエネルギーを低
減することができる。その結果、遠隔プラズマ放電装置
13の単位エネルギー当りにおけるフッ素ラジカルの生成
量が大幅に向上し、このことが直接的にクリーニング速
度の高速化に繋がる。換言すると、同量のフッ素ラジカ
ル出力を得るためにガス解離に消費されるエネルギー
が、従来のNF3等と比べ大幅に低減されることからプラ
ズマCVD装置のランニングコストを削減することが可能
となる。さらに、F2は大気中では水蒸気と反応して速や
かにHFとなり大気中の寿命が0に近く、地球温暖化係数
が極めて低いことから従来のPFCを含むクリーニングガ
スに比べ地球環境への影響を大幅に緩和することができ
る。
【0023】図2は、クリーニングガス供給手段15の好
適実施例及び他の実施例を示したものである。図2aに
示すように、クリーニングガス供給手段15は好適には、
Arガスで体積濃度20%に希釈したF2ガス充填ボンベ20
を含む。F2は非常に反応性が高いガスであるため、ボン
ベからの供給においては安全性の面から濃度100%を
充填するのは困難である。そのため不活性ガスで希釈し
たF2体積濃度20%が現行のボンベ充填限界濃度となっ
ている。不活性ガスとしてArの他にHeを用いてもよい。
F2ガス供給ライン26上にはバルブ23及びマスフローコン
トローラ22が設けられている。クリーニングガス供給手
段15は、さらに不活性ガス供給ボンベ21を含むことがで
きる。不活性ガス供給ボンベ21は好適にはArボンベであ
るが、Heボンベであってもよい。F2ガス供給ライン26と
は別に不活性ガス供給ライン25が設けられている。不活
性ガス供給ライン25上にはバルブ23及びマスフローコン
トローラ22が設けられている。
【0024】図2bは高濃度のF2ガスを供給するための
クリーニングガス供給手段15の他の実施例を示したもの
である。高純度のF2ガスはF2ジェネレータ24によって生
成される。F2ジェネレータ24は電解槽中でHFを電気分解
することによりF2を生成する。このプロセスでは効率的
に高純度なF2及びH2が生成され、F2は反応チャンバ2へH
2は排気へそれぞれダイレクトに供給されるシステムが
与えられる。またこのシステムは一つ若しくは複数の反
応チャンバに対し必要に応じてF2を供給可能である(参
考例:FOC社製F2ジェネレータ)。F2ジェネレータ24の
上流部には不活性ガス供給ボンベ21が設けられ、該不活
性ガス供給ボンベ21はライン27を通じてバルブ23を介し
マスフローコントローラ22に結合されている。F2ジェネ
レータ24はF2ガス供給ライン28を通じてバルブ23を介
し、不活性ガス供給ボンベ21とマスフローコントローラ
22の間のライン27に結合されている。F2ガス供給ライン
28は、F2に対して耐腐食性を有する樹脂材料であるテフ
ロン(登録商標)から製造されている。
【0025】図2cは図2bのクリーニングガス供給手段
の変形例を示したものである。F2ジェネレータ24からの
F2ガス供給ライン29上にはバルブ23及びマスフローコン
トローラ22が設けられている。F2ガス供給ライン29とは
別に、不活性ガス供給ライン25が設けられている。不活
性ガス供給ライン25上には、バルブ23及びマスフローコ
ントローラ22が設けられている。F2ガス供給ライン29の
材質は図2bのF2ガス供給ライン28と同じである。
【0026】次に、本発明に係るCVD装置の反応チャン
バをリモートプラズマクリーニングする方法について説
明する。当該方法は、クリーニングガス供給手段15から
F2ガス及び不活性ガスの混合ガスを遠隔プラズマ放電装
置13に供給する工程を含む。図2aに示される好適実施
例によれば、Arガスで体積濃度20%に希釈されたF2
スは、ガス充填ボンベ20からライン26を通じバルブ23を
介してマスフローコントローラ22で流量制御された後遠
隔プラズマ放電装置13に供給される。一方Arガスは、Ar
100%のガスボンベ21からライン25を通じバルブ23を
介してマスフローコントローラ22で流量制御された後F2
ガスと合流する。それによって、遠隔プラズマ放電装置
13に供給されるF2ガスの濃度を変化させることができ
る。
【0027】図2bに示される他の実施例によれば、F2
ジェネレータ24で生成された高純度F 2ガスは、ライン28
を通じバルブ23を介して上流側に設置されたAr100%
のガスボンベ21からのArガスとライン27で混合され所定
の濃度に希釈されてマスフローコントローラ22で流量制
御された後遠隔プラズマ放電装置13に供給される。
【0028】図2cに示される変形例によれば、F2ジェ
ネレータ24で生成された高純度F2ガスは、ライン29を通
じバルブ23を介してマスフローコントローラ22によって
流量制御される。一方、Ar100%のガスボンベ21から
のArガスはライン25を通じバルブ23を介してマスフロー
コントローラ22で流量制御された後、F2ガスと合流す
る。それによって、遠隔プラズマ放電装置13に供給され
るF2ガスの濃度を所定の濃度に希釈することができる。
【0029】図2に示されるクリーニングガス供給手段
によれば、以下に詳細に示されるように好適には10%
〜100%のF2ガスを供給することができる。
【0030】クリーニングガス供給手段15から遠隔プラ
ズマ放電装置13に供給されたF2ガスは、そこで解離され
及び活性化される。
【0031】その後、活性化されたF2ガスはライン14を
通じて反応チャンバ2の上部11の開口部7へ導入され、シ
ャワーヘッド4を介して反応チャンバ2内に均一に分配さ
れる。活性化F2ガスは反応チャンバ内壁等に付着した堆
積物と化学反応し、それを気化させる。反応チャンバ2
内部の活性化F2ガス及び生成物は真空ポンプ(図示せ
ず)により排気口16及びコンダクタンス調整弁17を経て
外部の除害装置(図示せず)へ排気される。
【0032】
【実施例】以下、本発明に係るリモートプラズマクリー
ニング方法を用いた評価実験を行ったので説明する。
【0033】(実験1)まず、クリーニングガスとして
NF3及びArの混合ガスを用いた従来のリモートプラズマ
クリーニングとクリーニングガスとしてF2及びArの混合
ガスを用いた本発明に係るリモートプラズマクリーニン
グとの比較実験を行った。
【0034】比較例の実験には図1と同じ構成のシステ
ムを使用した。まず、TEOS流量115sccm、O2流量1000scc
m、反応チャンバ圧力3.5Torr、高周波電力(13.56MHz)
315W、高周波電力(430kHz)300W、電極間距離10mm、上
部電極温度130℃、下部電極温度400℃、反応チャンバ側
壁温度120℃の成膜条件にてφ200mmシリコン基板上にプ
ラズマ酸化膜の成膜を行った。成膜終了後NF3流量1.0sl
m、Ar流量2slm、反応チャンバ圧力5Torr、電極間隔14m
m、上部電極温度130℃、下部電極温度400℃、反応チャ
ンバ側壁温度120℃の条件にて、反応チャンバ2のリモー
トプラズマクリーニングを行った。クリーニング終点検
出方法として、クリーニングガスと残存する堆積物が反
応して生成される物質(SiF4等)の発光をプラズマ発光
分光分析法によりプラズマ中から検出し、その発光強度
の低下及びプラズマインピーダンスの変化からクリーニ
ングの終点を決定し、クリーニング速度を算出する方法
を利用した。
【0035】上記条件を標準条件としたときに得られた
クリーニング速度は1.14μm/minであり、その時に消費
された遠隔プラズマ放電装置の消費電力は3.0kWであっ
た。NF3を1.0slm流したとき遠隔プラズマ放電装置にて
100%解離により出力されるフッ素ラジカルのアウト
プットは3.0slmになる。これらの結果から本条件下では
遠隔プラズマ放電装置の単位電力当りに放出されるフッ
素ラジカルアウトプットは1.0×10-3mol/Wであることが
分かった。また、プラズマ酸化膜1μm成膜後の基板1枚
当りに要するガスコストは約62(yen/wafer)であった。
【0036】次に本発明に係る好適実施例によるリモー
トプラズマクリーニング実験について説明する。好適実
施例の実験には図1と同じ構成のシステムを使用した。
まず、TEOS流量115sccm、O2流量1000sccm、反応チャン
バ圧力3.5Torr、高周波電力(13.56MHz)315W、高周波
電力(430kHz)300W、電極間距離10mm、上部電極温度13
0℃、下部電極温度400℃、反応チャンバ側壁温度120℃
の成膜条件にてφ200mmシリコン基板上にプラズマ酸化
膜の膜厚が1μmとなるように成膜を行った。また、プラ
ズマ酸化膜の膜応力が約-120Mpaの一定値になるように
高周波電力を調整した。成膜終了後、図2aのクリーニ
ングガス供給手段を用いてボンベ充填された体積濃度2
0%F2(80%Ar希釈)を遠隔プラズマ放電装置13に供
給した。このときガスライン25のバルブ23を閉じ、不活
性ガスボンベ21からArガスが供給されないようにした。
クリーニングは、反応チャンバ圧力5Torr、電極間距離1
4mm、上部電極温度130℃、下部電極温度400℃、反応チ
ャンバ側壁温度120℃の条件で行った。実験は濃度20
%F2ガスの流量をマスフローコントローラ22によって変
化させて行った。そのときに消費される遠隔プラズマ放
電装置13の消費電力は、クランプメータを用いて測定し
た。クリーニング速度の測定は比較例と同じ方法で行っ
た。
【0037】図3は、20%F2ガスの流量変化に対する
クリーニング速度及び遠隔プラズマ放電装置13の消費電
力の関係を示したグラフである。グラフ中に、NF3流量3
slm(Ar流量2slmを含む)における比較例のクリーニン
グ速度及び消費電力が1点で示されている。
【0038】図3のグラフより、20%F2流量の変化に
対して、クリーニング速度及び遠隔プラズマ放電装置の
消費電力はともに比例関係にあることが分かる。20%
F2流量が比較例のNF3と同流量(3slm)であるときの消
費電力が比較例の3.0kWから約1.5kWまで約50%大きく低
下していることがわかる。また、遠隔プラズマ放電装置
13の消費電力3.0kWで分解可能なNF3の流量は3slm(Ar流
量2slm)であったのに対し、20%F2の流量はグラフか
ら約12slmであると推測される。さらにその時に得られ
るクリーニング速度は約1.75μm/minと推測される。こ
れは遠隔プラズマ放電装置の消費電力が3.0kW時におけ
る20%F2(実施例1)のクリーニング速度がNF3(比
較例)のクリーニング速度1.14μm/minの約1.53倍に向
上することを示している。
【0039】20%F2を12slm供給したときの単位エネ
ルギー当りにおけるフッ素ラジカルアウトプットを評価
した。20%F2を12slm流したときのpure-F2の流量は2.
4slmであり、遠隔プラズマ放電装置13で完全に解離され
ると反応チャンバ内に導入されるフッ素ラジカルの流量
は4.8slmとなる。そのときの遠隔プラズマ放電装置の消
費電力は3.0kWであることから、20%F2をクリーニン
グガスに使用したときの遠隔プラズマ放電装置の単位電
力当りに放出されるフッ素ラジカルアウトプットは1.6
×10-3mol/Wであることが分かった。これは比較例であ
るNF3の場合と比べて1.6倍高い出力である。この結果か
らフッ素ラジカルアウトプット量の増加が直接的にクリ
ーニング速度の向上に大きく関与していることが実証で
きた。
【0040】さらに、20%F2流量12slm、クリーニン
グ速度1.75μm/minの場合において、NF3と同様にクリー
ニングするのに消費されるガスコストをF2、Arをそれぞ
れ別単価として算出すると40(yen/wafer)となり、比較
例より約35%のコストダウンが可能となった。クリーニ
ングガスにF2を使用することにより、クリーニング速度
が向上するばかりか、プラズマ酸化膜1μm成膜後の基板
1枚当りに要するガスコストも低減され、装置の運用コ
ストを大幅に削減することが可能となった。
【0041】(実験2)次に、本発明に係るリモートプ
ラズマクリーニング方法に従ってpure-F2濃度とクリー
ニング速度の関係について評価実験を行った。実験には
図2aに示すクリーニングガス供給手段の好適実施例を
使用した。実験2では、Arガスボンベ21のバルブ23を開
放し、Arのみの流量を増やすことにより、pure-F2の流
量を変化させずにF2の濃度を20%以下に制御できるよ
うにした。まず、実験1と同じ成膜条件にてφ200mmシ
リコン基板上にプラズマ酸化膜を1μm成膜した。成膜終
了後、シリコン基板を反応チャンバ外部に搬出し、その
後でクリーニングを実行した。20%F2(80%Ar希
釈)流量は実験1において消費電力3.0kW内での限界流
量であった12slm(pure-F2:2.4slm)で一定とし、Ar流
量を0slmとしたときを20%F2とした。また、Arガスの
流量は、マスフローコントローラ22によって、15%F2
においては4slm、10%F2においては12slmにそれぞれ
制御した。他のクリーニング条件は実験1と全く同様に
した。
【0042】図4は、F2濃度を変化させた場合における
反応チャンバ2のクリーニング速度の変化を示したグラ
フである。F2をArにより20%以下に希釈するに従い、
クリーニング速度が低下するのがわかる。換言すれば、
F2濃度とクリーニング速度は比例関係にあることがわか
る。ここでは希釈ガスであるAr流量の変化により濃度を
制御しているためpure-F2の流量は一定である。すなわ
ち、遠隔プラズマ放電装置13により解離及び活性化され
て反応チャンバ2に導入されるフッ素ラジカルのアウト
プットも一定である。実験1からクリーニング速度はフ
ッ素ラジカルのアウトプットの増加量に対して比例的に
向上することが分かっているので、より高純度のF2を使
用することが更にクリーニング速度を向上させるための
要因であることがわかった。
【0043】ボンベ充填では20%以上の高純度F2を供
給することは不可能であるが、図2b若しくは図2cに示
される本発明に係る他の実施例に従い50%F2(実施例
2)を供給した場合、クリーニング速度は約3.19μm/mi
nまで向上できると推測される。これは比較例であるNF3
の約2.8倍である。また、このときのプラズマ酸化膜1μ
m成膜後の基板1枚当りに要するガスコストを算出する
と14(yen/wafer)となり、比較例に比べ約77%もの大幅
なコストダウンが可能となる。より高純度のF2を使用す
ることによりクリーニング速度の向上と装置運用コスト
の削減の両方において相乗的な効果が得られることがわ
かった。もし、希釈ガスのArを使用せずにpure-F2(1
00%)のみを図2b及び図2cのF2ジェネレータから流
したと仮定すると、クリーニング速度は約4.74μm/min
になると推測される。また、このときのプラズマ酸化膜
1μm成膜後の基板1枚あたりに要するガスコストを同様
に算出すると、Arを未使用であることも大きく影響して
7.6(yen/wafer)と極めて低くなり、比較例に比べ約88%
のコストダウンが可能となる。表1は、クリーニングガ
スとしてNF3を使用した場合(比較例)、20%F2を使
用した場合(実施例1)及び50%F2使用した場合(実
施例2)の実験結果をまとめたものである。
【0044】
【表1】 50%F2をクリーニングガスに使用することにより、ク
リーニング速度及び装置生産性が比較例に比べドラステ
ィックに向上しているのがわかる。
【0045】(実験3)さらに、反応チャンバのクリー
ニング効率を向上させるために、装置ハードウエアによ
る評価実験を行った。装置として、日本エー・エス・エ
ム(株)製300mm基板処理用平行平板プラズマCVD装置
(DragonTM212)を使用した。当該装置はここに参考文
献として組み込む特願2001-361669号に開示されるよう
に、下部電極表面積/基板表面積の値が1.08〜1.38にな
るように下部電極が選択され、上部電極表面積/下部電
極表面積の値が1.05〜1.44になるように上部電極が選択
され、上部電極温度が200℃〜400℃に制御されている。
【0046】当該装置と図1に示した従来の300mm基板
処理用プラズマCVD装置とを比較すると、膜厚面内均一
性及び膜応力は変わらないが成膜速度が約7%向上し、
クリーニングガスとしてNF3を用いた所定の条件の下で
のリモートプラズマクリーニングにおいて、クリーニン
グ速度が約3倍、クリーニングサイクルが約4倍に向上
することが既に実証されている。
【0047】当該装置を使用し図2aに示される好適実
施例により20%F2(80%Ar希釈)をボンベから供給
して反応チャンバのクリーニングを行った。その結果、
実施例1で得られたクリーニング速度(1.75μm/min)
の3倍である5.25μm/minのクリーニング速度が得られ
た。図2b及び図2cに示される他の実施例により50%
F2を供給した結果、実験2で得られたクリーニング速度
(3.19μm/min)の3倍である9.57μm/minのクリーニン
グ速度が得られた。当該プラズマCVD装置を使用するこ
とにより、従来のプラズマCVD装置に比べ大幅にクリー
ニング速度が向上し、反応チャンバクリーニングの効率
化を実現することができた。
【0048】
【効果】本発明に係るリモートプラズマクリーニング方
法によれば、CVD装置の反応チャンバのクリーニング速
度を大幅に向上させることができた。
【0049】また、本発明に係るリモートプラズマクリ
ーニング方法によれば、遠隔プラズマ放電装置において
低エネルギーで解離可能となり、ガスコストも低下する
ことから装置の運用コストを大幅に削減することができ
た。その結果、効率及び生産性が高い半導体処理装置を
実現できた。
【0050】さらに本発明に係るリモートプラズマクリ
ーニング方法によれば、地球温暖化係数の小さいF2を使
用することで、地球環境に悪影響を及ぼす危険性が無く
なった。
【図面の簡単な説明】
【図1】図1は、従来のプラズマCVD装置に遠隔プラズ
マ放電装置を組み込んだシステムを略示したものであ
る。
【図2】図2は、本発明に係るクリーニングガス供給手
段の実施例を示したものである。
【図3】図3は、20%F2ガスの流量変化に対するクリ
ーニング速度及び遠隔プラズマ放電装置の消費電力の関
係を示したグラフである。
【図4】図4は、F2濃度を変化させた場合における反応
チャンバのクリーニング速度の変化を示したグラフであ
る。
【符号の説明】
1 被処理体 2 反応チャンバ 3 サセプタ 4 シャワーヘッド 5 ライン 6 バルブ 7 上部開口部 8 高周波電源 9 出力ケーブル 10 整合回路 11 反応チャンバ上部 12 ライン 13 遠隔プラズマ放電装置 14 ライン 15 クリーニングガス供給手段 16 排気口 17 コンダクタンス調整弁 18 高周波電源 19 ヒータ
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 DA06 JA06 JA10 5F004 AA15 BA03 BA04 BD04 CA02 CA03 CB15 DA00 DA22 DA23 DB03 5F045 AA08 AB32 AC16 AC17 BB08 BB15 DP03 EB06 EH14 EH18

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】CVD装置処理室内部を遠隔プラズマ放電装
    置を用いてリモートプラズマクリーニングする方法であ
    って、クリーニングガスを前記遠隔プラズマ放電装置に
    供給する工程と、前記クリーニングガスを前記遠隔プラ
    ズマ放電装置内で活性化する工程と、活性化された前記
    クリーニングガスを前記処理室内に導入する工程とから
    成り、前記クリーニングガスとしてF2ガス及び不活性ガ
    スの混合ガスを使用することを特徴とする方法。
  2. 【請求項2】請求項1に記載の方法であって、前記F2
    スの濃度が10%以上である、ところの方法。
  3. 【請求項3】請求項1に記載の方法であって、クリーニ
    ングガスを前記遠隔プラズマ装置に導入する工程は、F2
    ガスを不活性ガスで所定の濃度に希釈して充填したガス
    ボンベからF2ガスを供給する工程を含む、ところの方
    法。
  4. 【請求項4】請求項1に記載の方法であって、クリーニ
    ングガスを前記遠隔プラズマ装置に導入する工程は、F2
    ジェネレータによって生成された高純度F2ガスに不活性
    ガスボンベから供給される不活性ガスを混合し、所定の
    濃度に希釈したF2ガスを供給する工程を含む、ところの
    方法。
  5. 【請求項5】請求項1から4に記載の方法であって、前
    記不活性ガスはHe若しくはArである、ところの方法。
  6. 【請求項6】請求項1に記載の方法であって、前記CVD
    装置はプラズマCVD装置であり前記処理室内部に上部電
    極及び下部電極を有し、当該方法がさらに下部電極表面
    積/基板表面積の値が1.08〜1.38となるように下部電極
    を選択する工程と、 上部電極表面積/下部電極表面積の値が1.05〜1.44とな
    るように上部電極を選択する工程と、 上部電極温度を200℃〜400℃に制御する工程と、を含む
    ところの方法。
JP2002065304A 2002-03-11 2002-03-11 Cvd装置処理室のクリーニング方法 Pending JP2003264186A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002065304A JP2003264186A (ja) 2002-03-11 2002-03-11 Cvd装置処理室のクリーニング方法
US10/385,984 US7234476B2 (en) 2002-03-11 2003-03-10 Method of cleaning CVD equipment processing chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002065304A JP2003264186A (ja) 2002-03-11 2002-03-11 Cvd装置処理室のクリーニング方法

Publications (1)

Publication Number Publication Date
JP2003264186A true JP2003264186A (ja) 2003-09-19

Family

ID=27784965

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002065304A Pending JP2003264186A (ja) 2002-03-11 2002-03-11 Cvd装置処理室のクリーニング方法

Country Status (2)

Country Link
US (1) US7234476B2 (ja)
JP (1) JP2003264186A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009033073A (ja) * 2007-07-31 2009-02-12 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2009533853A (ja) * 2006-04-10 2009-09-17 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング エッチング方法
JP2009231794A (ja) * 2007-12-05 2009-10-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2009151201A1 (ko) * 2008-06-09 2009-12-17 (주)이큐베스텍 플라즈마 세정 시스템에 사용되는 분사기 및 이를 이용한 세정 방법
JP2013529764A (ja) * 2010-07-05 2013-07-22 ソルヴェイ(ソシエテ アノニム) フッ素容器
US10269538B2 (en) 2015-03-27 2019-04-23 Sakai Display Products Corporation Film deposition apparatus and method for cleaning film deposition apparatus

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
KR100997964B1 (ko) * 2003-06-16 2010-12-02 삼성전자주식회사 박막 트랜지스터 표시판의 제조 방법
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7569111B2 (en) * 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080236483A1 (en) * 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
US7741144B2 (en) 2007-11-02 2010-06-22 Applied Materials, Inc. Plasma treatment between deposition processes
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8308871B2 (en) * 2008-11-26 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal cleaning gas production and supply system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102002686A (zh) * 2010-11-02 2011-04-06 深圳市华星光电技术有限公司 化学气相沉积设备及其冷却箱
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10192717B2 (en) * 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240047185A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Shared rps clean and bypass delivery architecture
CN117732818B (zh) * 2024-02-20 2024-06-21 威海市正威机械设备股份有限公司 一种反应容器的清洁系统及方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69128050D1 (de) 1990-06-29 1997-12-04 Applied Materials Inc Zweistufiges Selbstreinigungsverfahren einer Reaktionskammer
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009533853A (ja) * 2006-04-10 2009-09-17 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング エッチング方法
JP2009033073A (ja) * 2007-07-31 2009-02-12 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2009231794A (ja) * 2007-12-05 2009-10-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4531833B2 (ja) * 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
KR101043216B1 (ko) 2007-12-05 2011-06-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
KR101066933B1 (ko) 2007-12-05 2011-09-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US9856560B2 (en) 2007-12-05 2018-01-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
WO2009151201A1 (ko) * 2008-06-09 2009-12-17 (주)이큐베스텍 플라즈마 세정 시스템에 사용되는 분사기 및 이를 이용한 세정 방법
TWI407519B (zh) * 2008-06-09 2013-09-01 Eqbestech Inc 應用於電漿清潔系統之氣體提供器和應用其系統清潔處理室的方法
JP2013529764A (ja) * 2010-07-05 2013-07-22 ソルヴェイ(ソシエテ アノニム) フッ素容器
US10269538B2 (en) 2015-03-27 2019-04-23 Sakai Display Products Corporation Film deposition apparatus and method for cleaning film deposition apparatus

Also Published As

Publication number Publication date
US7234476B2 (en) 2007-06-26
US20030170402A1 (en) 2003-09-11

Similar Documents

Publication Publication Date Title
JP2003264186A (ja) Cvd装置処理室のクリーニング方法
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
CN105390388B (zh) 蚀刻方法
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US20060086692A1 (en) Plasma etching method
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US6375756B1 (en) Method for removing a deposited film
TW201443992A (zh) 使用遠端電漿源之加強式蝕刻製程
CN105845550A (zh) 被处理体的处理方法
TW200822219A (en) Film formation apparatus for semiconductor process and method for using the same
KR102459129B1 (ko) 기판 처리 방법 및 플라즈마 처리 장치
TWI334166B (en) Silicon dot forming method and silicon dot forming apparatus
US6942892B1 (en) Hot element CVD apparatus and a method for removing a deposited film
JP5548028B2 (ja) 堆積チャンバのリモートクリーニング方法
KR20220150845A (ko) 기판 처리 방법 및 플라즈마 처리 장치
JP5073696B2 (ja) 処理装置
JP2013541187A (ja) 分子状フッ素を用いる化学気相成長チャンバのクリーニング
CN109868459A (zh) 一种半导体设备
JP2002180256A (ja) 表面処理装置
US20040007248A1 (en) Method for improving reliability of reaction apparatus
WO2024108808A1 (zh) 用于清洗工艺腔室的方法及其应用
WO2023214521A1 (ja) プラズマ処理方法及びプラズマ処理装置
JP2005150258A (ja) プラズマcvd装置のクリーニング方法
JP3707989B2 (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060627

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060823

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070705

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070802

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070802

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070809

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20071002

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20071116

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331