CN106575609B - 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能 - Google Patents

调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能 Download PDF

Info

Publication number
CN106575609B
CN106575609B CN201580039614.3A CN201580039614A CN106575609B CN 106575609 B CN106575609 B CN 106575609B CN 201580039614 A CN201580039614 A CN 201580039614A CN 106575609 B CN106575609 B CN 106575609B
Authority
CN
China
Prior art keywords
gas
remote plasma
plasma source
wall surface
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580039614.3A
Other languages
English (en)
Other versions
CN106575609A (zh
Inventor
A·A·哈贾
M·阿尤伯
J·D·平森二世
J·C·罗查-阿尔瓦雷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202010101748.6A priority Critical patent/CN111286719B/zh
Publication of CN106575609A publication Critical patent/CN106575609A/zh
Application granted granted Critical
Publication of CN106575609B publication Critical patent/CN106575609B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)

Abstract

本公开内容的实施例一般关于用以调节远程等离子体产生器的内壁表面的方法。在一个实施例中,提供了一种用以处理基板的方法。所述方法包括下列步骤:将远程等离子体源的内壁表面暴露于处在激发态的调节气体,以钝化远程等离子体源的内壁表面,其中该远程等离子体源透过导管耦接至处理腔室,其中基板设置于处理腔室中,且调节气体包含含氧气体、含氮气体、或前述气体的组合。已观察到所述方法能增进处理腔室中的解离/重组速率及等离子体耦合效率,且因此提供了晶片至晶片之间的可重复且稳定的等离子体源表现。

Description

调节远程等离子源以获得具有可重复蚀刻与沉积率的增进 性能
技术领域
本公开内容的实施例总体上涉及用于调节远程等离子体源的方法。
背景技术
等离子体增强的化学气相沉积(PECVD)工艺是将电磁能量施加到至少一种前驱物气体或蒸气以将前驱物转变成反应性等离子体的一种工艺。形成等离子体可降低形成膜所需的温度、增加形成速率或两者皆可。可在处理腔室内部(即,原位)产生等离子体,或在远程等离子体产生器中产生等离子体,所述远程等离子体产生器定位在处理腔室的远程。远程等离子体产生器提供诸多优点。例如,远程等离子体产生器可对不具有原位等离子体系统的沉积系统提供等离子体能力(plasma capability)。使用远程等离子体产生器也可最小化等离子体与基板及腔室部件的交互作用,从而防止处理腔室的内部有等离子体形成工艺的非期望副产物。
远程等离子体产生器总体上具有保护性阳极化铝涂层,以保护铝质内壁不劣化。然而,阳极化铝涂层通常是有孔的,且倾向发生表面反应。因此,由于阳极化涂层在等离子体清洁环境中的劣化,因而限制了阳极化铝涂层的寿命。铝质表面上方的保护性阳极化涂层的失效会导致下游反应器腔室内的过量颗粒产生(particulate generation)。此外,由于保护性阳极化涂层的表面状况随着工艺持续而有所改变,因此下游反应器腔室也遭遇不稳定的等离子体表现。因此,晶片至晶片之间的晶片沉积/蚀刻速率、膜均匀性及等离子体耦合效率会降低。
虽然可能进行频繁的腔室清洁以稳定腔室状况,腔室清洁化学物(如NF3)将会使阳极化涂层以更快的速率退化。在远程等离子体源使用AlN等离子体块体(plasma block)或阳极化等离子体块体的某些情况中,远程等离子体源内的表面状况将随着沉积或清洁化学物在时间上改变。等离子体块体的表面状况的此改变不会提供可重复的等离子体表现,从而导致时间上不一致的晶片对晶片表现。
因此,需要一种用于调节远程等离子体产生器的表面的方法,以在维持基板产量的同时提供稳定且可重复的等离子体表现。
发明内容
本公开内容的实施例总体上涉及调节远程等离子体产生器的内壁表面的方法。在一个实施例中,提供了处理基板的方法。该方法包括下列步骤:将自由基源的内壁表面暴露于处在激发态的调节气体,以钝化自由基源的内壁表面,其中自由基源经由自由基导管耦接至处理腔室,其中基板设置于该处理腔室中,且调节气体包含含氧气体、含氮气体、或前述气体的组合。
在另一实施例中,所述方法包括下列步骤:(a)将自由基源的内壁表面暴露于处在激发态的调节气体,以钝化自由基源的内壁表面,其中自由基源经由自由基导管耦接至处理腔室,其中基板设置于处理腔室中,且调节气体包含含氧气体、含氮气体、或前述气体的组合;(b)于该处理腔室中,使用来自自由基源的自由基,于来自一批次的基板中的N个数量的基板上进行一系列的工艺,其中N是基板的整数数量并介于1与20之间;以及(c)重复步骤(a)及(b),直到该批次的基板中的最后一个基板经处理并从处理腔室移出为止。
在又一实施例中,所述方法包括下列步骤:将自由基源的内壁表面暴露于调节气体,其中调节气体包含含氧气体、含氮气体或前述气体的组合;于自由基源中,从调节气体产生等离子体,以钝化自由基源的内壁表面;以及于处理腔室中,使用来自自由基源的自由基,于N个数量的基板上进行一系列的工艺,其中N是基板的整数倍并介于1与20之间。
附图说明
为能详细了解本公开内容以上所载特征,可参阅多个实施例得出以上简要概括的本公开内容的更具体说明内容,且部分实施例图示于附图中。然而应注意,该等附图仅绘示代表性实施例,故而不应视为本公开内容范围的限制,本公开内容允许做出其他等效实施例。
图1为根据本公开内容的实施例的用以形成介电膜的设备的剖面视图。
图2绘示根据本公开内容的实施例的用以调节图1的自由基源的方法。
为帮助理解,尽可能地使用相同附图标记代表该等图式中共有的相同元素。构想到,一个实施例的元素和特征可有益地并入其他实施例中而无需进一步详述。
具体实施方式
图1为根据本公开内容的实施例的用以形成介电膜的设备100的剖面视图。在一个实施例中,设备100包括处理腔室102以及自由基源(radical source)104,自由基源104耦接至处理腔室102。自由基源104可以是能产生自由基的任何合适来源。自由基源104可以是远程等离子体源,如射频(RF)或超高射频(very high radio frequency,VHRF)、电容式耦合的等离子体(capacitively coupled plasma,CCP)源、感应式耦合的等离子体(inductively coupled plasma,ICP)源、微波感应(microwave induced,MW)的等离子体源、电子回旋加速共振(electron cyclotron resonance,ECR)腔室,或高密度等离子体(high density plasma,HDP)腔室。或者,自由基源104可以是紫外线(UV)源或热线化学气相沉积(hot wire chemical vapor deposition,HW-CVD)腔室的丝状体(filament)。自由基源104可包括一个或多个气体入口106,且自由基源104可通过自由基导管108耦接至处理腔室102。一或多种工艺气体可经由一个或多个气体入口106进入自由基源104,所述工艺气体可以是自由基形成气体(radical-forming gas)。所述一或多种工艺气体可包含含氧气体、含氮气体、含氢气体、或上述气体的任何组合。在自由基源104中产生的自由基可行进经由与处理腔室102耦接的自由基导管108进入处理腔室102。
自由基源104可具有施加至铝质内部腔室壁的阳极化涂层,以保护下方铝质内部腔室壁不受侵蚀或劣化。在多个实施例中,阳极化保护涂层可由氧化铝或氮化铝形成。
自由基导管108为盖体组件112的一部分,盖体组件112也包括自由基空腔110、顶板114、盖缘(lid rim)116及双区喷淋头118。自由基导管108可包含实质上不与自由基反应的材料。例如,自由基导管108可包含AlN、SiO2、Y2O3、MgO、阳极化Al2O3、蓝宝石、陶瓷(含有Al2O3、蓝宝石、AlN、Y2O3、MgO或塑料中的一者或多者)。合适的SiO2材料的代表性范例为石英。替代或附加地,自由基导管108在表面上可具有涂层,在操作中接触自由基。所述涂层也可包含AlN、SiO2、Y2O3、MgO、阳极化Al2O3、蓝宝石、陶瓷(含有Al2O3、蓝宝石、AlN、Y2O3、MgO或塑料中的一者或多者)。若使用涂层的话,涂层的厚度可介于约1μm与约1mm之间。可使用喷射涂覆工艺来施加涂层。自由基导管108可被设置在自由基导管支撑构件120内并被自由基导管支撑构件120所支撑。自由基导管支撑构件120可设置在顶板114上,而顶板114靠在盖缘116上。
自由基空腔110位于自由基导管108下方并耦接至自由基导管108,且在自由基源104中产生的自由基经由自由基导管108行进至自由基空腔110。自由基空腔110可由顶板114、盖缘116及双区喷淋头118所界定。视情况,自由基空腔110可包括衬里122。衬里122可覆盖顶板114及盖缘116的位于自由基空腔110内的表面。衬里122可包含实质上不与自由基反应的材料。例如,衬里122可包含AlN、SiO2、Y2O3、MgO、阳极化Al2O3、蓝宝石、陶瓷(含有Al2O3、蓝宝石、AlN、Y2O3、MgO或塑料中的一者或多者)。替代或附加地,与自由基接触的自由基空腔110的表面可由实质上不与自由基反应的材料构成或涂覆有实质上不与自由基反应的材料。例如,所述表面可由AlN、SiO2、Y2O3、MgO、阳极化Al2O3、蓝宝石、陶瓷(含有Al2O3、蓝宝石、AlN、Y2O3、MgO或塑料中的一者或多者)构成,或涂覆有AlN、SiO2、Y2O3、MgO、阳极化Al2O3、蓝宝石、陶瓷(所述陶瓷含有Al2O3、蓝宝石、AlN、Y2O3、MgO或塑料中的一者或多者)。若使用涂层的话,涂层的厚度可介于约1μm与约1mm之间。通过不消耗所产生的自由基,增加对基板(设置于处理腔室102中)的自由基通量(radical flux)。
可将离子过滤器123设置于自由基空腔110中,介于顶板114与双区喷淋头118之间。离子过滤器123可以是电性接地的经穿孔的板。若自由基是在等离子体内产生,则在所述等离子体内产生的离子、电子及紫外线辐射可被离子过滤器123阻挡,以仅将自由基导向双区喷淋头118,并防止对已沉积的膜造成损害。离子过滤器123也可控制穿过离子过滤器123的自由基的数量。自由基接着穿过多个管体124以进入处理区域128,所述多个管体124设置于双区喷淋头118中。双区喷淋头118可进一步包括多个开口126,多个开口126的直径小于多个管体124的直径。多个开口126连接至内容积(未绘示),内容积未与多个管体124流体连通。一个或多个流体源119可耦接至双区喷淋头118,用以将流体混合物导入处理腔室102的处理区域128。流体混合物可包括前驱物、成孔剂(porogen)及/或载体流体。流体混合物可以是气体及液体的混合物。
处理腔室102可包括盖体组件112、腔室主体130及支撑组件132。支撑组件132可至少部分地设置于腔室主体130内。腔室主体130可包括狭缝阀135,以提供通路至处理腔室102的内部。腔室主体130可包括衬里134,衬里134可覆盖腔室主体130的内部表面。衬里134可包括一个或多个孔136以及形成于衬里134中的泵送通道138,泵送通道138与真空系统140流体连通。孔136提供流动路径以便气体进入泵送通道138,泵送通道138可提供出口给处理腔室102内的气体。
真空系统140可包括真空端口142、阀144及真空泵146。真空泵146经由真空端口142与泵送通道138流体连通。孔136允许泵送通道138与腔室主体130内的处理区域128流体连通。处理区域128可由双区喷淋头118的下表面148与支撑组件132的上表面150所界定,且处理区域128被衬里134包围。
支撑组件132可包括支撑构件152,以支撑基板(未绘示),以在腔室主体130内处理基板。基板可以是任何标准晶片尺寸,例如,例如,300mm。或者,基板可大于300mm,如450mm或更大。根据操作温度,支撑构件152可包含氮化铝(AlN)或铝。支撑构件152可经配置以夹持基板,且支撑构件152可以是静电夹盘或真空夹盘。
支撑构件152可经由轴杆156耦接举升机构154,轴杆156延伸穿过置中的开口158,置中的开口158形成于腔室主体130的底表面中。举升机构154可通过风箱(bellow)160而弹性地密封至腔室主体130,风箱160防止真空从轴杆156周围泄漏。举升机构154允许支撑构件152在腔室主体130内于处理位置与较低的递送位置之间垂直移动。递送位置稍低于狭缝阀135的开口。在操作期间,为了最大化基板表面处的自由基通量,可使介于基板与双区喷淋头118之间的间隔最小化。例如,所述间隔可介于约100mm与约5,000mm之间。举升机构154能够转动轴杆156,轴杆156进而转动支撑构件152,从而导致设置于支撑构件152上的基板在操作期间被转动。
一个或多个加热元件162及冷却通道164可嵌入支撑构件152中。加热元件162及冷却通道164可被用来控制操作期间的基板的温度。加热元件162可以是任何合适的加热元件,如一或多种阻式加热元件。加热元件162可被连接到一个或多个电源(未绘示)。加热元件162可被单独地控制,以具有对多区域加热或冷却的独立加热及/或冷却控制。由于具有对多区域加热及冷却的独立控制能力,可在任何给定的工艺条件下增进基板温度轮廓(temperature profile)。冷却剂可流经通道164,以冷却基板。支撑构件152可进一步包括气体通路,所述气体通路延伸至上表面150,以将冷却气体流至基板的背侧。
可将RF源耦接至双区喷淋头118或支撑构件152。RF源可以是低频率、高频率或超高频率。在一个实施例中,双区喷淋头118耦接至RF源且支撑构件152接地,如图1所示。在另一实施例中,双区喷淋头118接地,且支撑构件152耦接至RF源。在任一实施例中,在操作期间,可于处理区域128中,介于双区喷淋头118与支撑构件152之间,形成电容式耦合的等离子体。当自由基源为远程等离子体源时,于处理区域128中形成的电容式耦合的等离子体可附加至自由基源中形成的等离子体。可以用DC源来偏压支撑构件152,以增加离子轰击。
图2绘示根据本公开内容的实施例的用以调节图1的自由基源104的方法200。应注意到,方法200可应用至位在处理腔室远程的任何远程等离子体源,其中基板设置在处理腔室中。可在处理腔室中的各基板处理(如,沉积或蚀刻工艺)之前、期间或之后进行方法200。在某些实施例中,可在已处理预定数目的基板(如约2至约15个基板)之后,周期性地进行方法200。在这样的情况中,可在基板不存在于处理腔室中的情况下进行方法200。应注意到,因为可在不偏离本公开内容的基本范围的情况下加入、删除及/或重新排序一个或多个步骤,所以图2中所绘示的步骤顺序不欲作为对本文所描述的公开内容的范围的限制。
于框202,可视情况用清洁气体清洗自由基源104。可自清洁气体源经由一个或多个气体入口106将清洁气体导入自由基源104。在适于有效地从自由基源104移除任何不想要的残留物(debris)或副产物的工艺条件下,清洁气体可经热激活和/或等离子体辅助。范例清洁气体可包括,但不限于NF3、NH3、F2、CF4、C2F6、C4F8、SF6、CHF3、CF6、H2、CCl4、C2Cl6或前述气体的任何组合。视情况,清洁气体可进一步包括惰性气体,如氩或氦。在某些实施例中,如将于以下框204处所描述般,清洁气体可与调节气体一起被引入自由基源104。在某些实施例中,可在处理腔室102中进行以上清洁工艺。可进行清洁工艺达约3秒至约300秒,取决于每次清洁之间在处理腔室中处理的基板数量。
于框204,可自调节气体源经由一个或多个气体入口106将调节气体导入自由基源104。在多种实施例中,调节气体可包括含氧气体、含氮气体或该等气体的组合。范例含氧气体可包括,但不限于以下各项中的一者或多者:氧(O2)气体、臭氧(O3)气体、氧化亚氮(N2O)、一氧化氮(NO)、一氧化碳(CO)、二氧化碳(CO2)、水蒸气(H2O)或该等气体的任何组合。范例含氮气体可包括,但不限于以下各项中的一者或多者:氨(NH3)、氮(N2)、联氨(N2H4)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化氮(NO2)或该等气体的任何组合。若自由基源104的阳极化保护性涂层为氧化铝的话,使用含有含氧气体的调节气体可以是有利的。若自由基源104的阳极化保护性涂层为氮化铝的话,使用含有含氮气体的调节气体可以是有利的。在某些实施例中,包含含氧气体的调节气体可被使用在自由基源104的阳极化保护性涂层为氮化铝的情况中。在某些实施例中,包含含氮气体的调节气体可被使用在自由基源104的阳极化保护性涂层为氧化铝的情况中。
化学惰性气体,如氦气、氮气或氩气,可与调节气体一起流入处理腔室。若使用惰性气体的话,可以约1:1至约1:20(如约1:6至约1:15,例如约1:10)的惰性气体对调节气体的比例来引入惰性气体。在一个实施例中,可以介于约2000sccm与约20000sccm之间的流速且在约0.1托耳至约20托耳的腔室压力下将调节气体引入自由基源104。
于框206,可在自由基源104中从调节气体产生等离子体,以钝化或恢复自由基源104的内壁表面。在某些实施例中,代替在自由基源104内点燃等离子体,可使处于激发态的调节气体从远程等离子体源(与自由基源分离)流入自由基源104。本文所用的术语“激发态(excited state)”指的是气体中的至少某些气体分子处于振动激发、解离及/或离子化状态。或者,可使用无等离子体工艺来进行自由基源104的内壁表面的钝化。也就是说,将调节气体引入自由基源104,并在适于使调节气体热分解的升高的温度下激发或解离调节气体。
在自由基源104为电容式耦合的等离子体(CCP)类型的来源的情况中,于钝化期间,可将自由基源104维持在约0.1托耳至约20托耳的压力(例如约1托耳至约10托耳),及约250℃至约400℃的温度下。若使用RF功率来解离调节气体的话,供应至自由基源104的RF功率密度可介于约0.001W/cm2至约5W/cm2,如自约0.01W/cm2至约至约1W/cm2,例如约0.04W/cm2至约0.07W/cm2
可根据被处理基板的数量(即,基板处理时间),和/或在各次钝化工艺之间于处理腔室102内的基板上进行的工艺(如,沉积或蚀刻工艺)的持续时间(即,基板处理时间),来变化自由基源104的内壁表面的钝化的处理时间。在多数情况中,钝化工艺时间可介于约2秒与约30秒之间,如约3秒至约25秒,例如约10秒。在多种实施例中,钝化工艺时间与基板处理时间可处在约1:5至约1:30的比例,如约1:8至约1:20,例如约1:12。
于框208,在自由基源104的内壁表面已被钝化或恢复后,可于下游处理腔室(如,图1中的处理腔室102)中,在来自一批次的基板中的N数量的基板(其中N为基板的整数数量)上进行一系列的工艺。在一个实施例中,N的范围介于1与20个基板之间,如介于约3个基板与约10个基板之间,例如约5个基板。所述工艺可以是任何沉积和/或蚀刻工艺,用于沉积或蚀刻,例如,氧化物或氮化物材料、含硅材料或含碳材料(前述材料可经掺杂或未经掺杂)。沉积和/或蚀刻工艺可使用来自自由基源的自由基。在一个示例中,沉积工艺为可流动性化学气相沉积(CVD),使用含硅前驱物及NH3/O2/N2/H2氧化剂化学物来沉积介电材料。于沉积或蚀刻工艺期间,可将含氧气体和/或含氮气体流入自由基源104,以稳定等离子体。
于框210,在进行一系列的沉积/蚀刻工艺之后,可视情况使用清洁工艺净化处理腔室102的内壁表面。清洁工艺可与就框202于上文描述的清洁工艺相同。在一个示例中,可使用清洁气体来清洁处理腔室102,所述清洁气体包含NF3、氨或该等气体的组合。在处理腔室102的清洁期间,可将含氧气体(如就框204于上文描述的含氧气体)流入自由基源104来调节自由基源104的内壁表面。
于清洁期间,可以介于约2000sccm与约20000sccm之间的流速将清洁气体引入处理腔室102。可将处理腔室102维持在约0.1托耳至约20托耳的压力下。可以约0.001W/cm2至约5W/cm2(如自约0.01W/cm2至约1W/cm2,例如,约0.04W/cm2至约0.07W/cm2)的密度将RF功率(若使用的话)供应至处理腔室102,以激活清洁气体。
可重复框202至框210处描述的工艺,直到以所述工艺处理完批次基板中的最后一个基板并将该基板移出处理腔室102为止。
构想到多种工艺且可将多种工艺加入方法200。在某些实施例中,在沉积或蚀刻工艺前(即,在框208之前),或在处理腔室102被清洁之后(即,在框210之后),可视情况执行调适工艺(seasoning process),以在经清洁的处理腔室102的壁上沉积调适层(seasoninglayer)。在这样的例子中,可在调适工艺之前和/或之后立刻进行如框204及206所描述的钝化工艺。保护性层可根据处理腔室102中所进行的工艺而变化。例如,若欲在基板上沉积含氮层,则可在处理腔室102的腔室表面上沉积氮化硅的调适层。调适层可作为黏合层,使得相较于黏着至处理腔室102的内部腔室表面,后续沉积的含氮材料更倾向于黏着至调适层。因此,在基板处理期间,残余含氮材料较不会被去除(dislodge)。可在处理腔室102中无基板时执行调适工艺。或者,在调适工艺期间,可将牺牲(虚设)基板安置于处理腔室102中。
在沉积/蚀刻工艺之后于处理腔室102中进行清洁工艺的情况中,可进行任选的调节工艺,以移除来自清洁工艺的非期望的含氟(F)或氮(N)污染物,该等污染物粘合至处理腔室102的腔室表面或或吸附于处理腔室102的腔室表面上。在一个实施例中,可通过将1200sccm的氢流入处理腔室102并持续30秒,使用300瓦的功率创建等离子体,而在处理腔室102中产生含氢等离子体。氢等离子体与处理腔室102中存在的氟反应,并形成挥发性含HF蒸气,所述挥发性含HF蒸气可经由腔室排放部容易地移除。可将处理腔室102维持在用于后续沉积/蚀刻工艺的温度下,并将处理腔室102维持在约1至10托耳的压力下。介于喷淋头118与支撑组件132之间的电极间隔可以是约800密耳至1500密耳。
本公开内容的益处提供了通过将远程等离子体产生器的内壁表面暴露于等离子体以钝化或恢复所述内壁表面的方法,所述等离子体可由调节气体形成,调节气体可包含含氧气体、含氮气体或该等气体的组合。所述创造性工艺可恢复并稳定远程等离子体源的内壁表面的表面状况。因此,即使在等离子体清洁环境中(所述等离子体清洁环境可致使下游反应器腔室中的颗粒产生最小化),仍可增进保护性阳极化铝涂层的寿命。所述创造性工艺因而可在后续沉积期间达成增进沉积速率、增进沉积均匀性,及增进处理腔室中的等离子体耦合效率。藉此,获得晶片至晶片之间的可重复且稳定的等离子体源性能。
虽然可进行频繁的腔室清洁来稳定腔室状况,但是腔室清洁化学物(如NF3)将会使阳极化涂层以更快的速率退化。在远程等离子体源使用AlN等离子体块体或阳极化等离子体块体的某些情况中,远程等离子体源内的表面状况将随着沉积或清洁化学物在时间上改变。等离子体块体的表面状况的此改变不会提供可重复的等离子体性能,从而导致时间上不一致的晶片对晶片性能。
尽管前述内容针对本公开内容的实施例,可在不背离本公开内容的基本范围的情况下设计本公开内容的其他及进一步的实施例,且本公开内容的范围由所附权利要求书确定。

Claims (16)

1.一种用于处理基板的方法,包含下列步骤:
(a)将远程等离子体源的内壁表面暴露于包含NF3和NH3的清洁气体;
(b)将所述远程等离子体源的所述内壁表面暴露于处于激发态的调节气体,以钝化所述远程等离子体源的所述内壁表面,其中所述远程等离子体源经由导管耦接至基板处理腔室,且所述调节气体包含含氧气体、含氮气体、或前述气体的组合;
(c)在利用所述调节气体钝化所述远程等离子体源的所述内壁表面之后,使用所述远程等离子体源中产生的自由基,在所述处理腔室中对来自一批次的基板的N个数量的基板进行一系列的沉积或蚀刻工艺,其中N是基板的整数数量且介于1与20之间;
(d)重复步骤(a)至(c),直到所述批次的基板中的最后一个基板经处理并从所述处理腔室移出为止;以及
(e)在所述批次的基板中的所述最后一个基板经处理并且从所述处理腔室移出之后,利用包含NF3和NH3的清洁气体净化所述处理腔室的内壁表面。
2.如权利要求1所述的方法,其中所述远程等离子体源的所述内壁表面由氧化铝或氮化铝形成,且所述调节气体是含氧气体。
3.如权利要求2所述的方法,其中所述含氧气体包含:氧(O2)气体、臭氧(O3)气体、氧化亚氮(N2O)、一氧化氮(NO)、一氧化碳(CO)、二氧化碳(CO2)、水蒸气(H2O)、或前述气体的任何组合。
4.如权利要求1所述的方法,其中所述远程等离子体源的所述内壁表面是由氧化铝或氮化铝形成,且所述调节气体是含氮气体。
5.如权利要求4所述的方法,其中所述含氮气体包含:氨(NH3)、氮(N2)、联氨(N2H4)、一氧化氮(NO)、氧化亚氮(N2O)、或二氧化氮(NO2)、或前述气体的任何组合。
6.如权利要求1所述的方法,其中所述调节气体进一步包含化学惰性气体,且所述惰性气体处于1:6至1:15的惰性气体对调节气体比例。
7.如权利要求1所述的方法,其中所述远程等离子体源的所述内壁表面暴露于所述调节气体达3秒至25秒。
8.如权利要求1所述的方法,其中所述远程等离子体源的所述内壁表面的钝化时间与用于在N个数量的基板上进行一系列的工艺的处理时间处于1:5至1:30的比率。
9.一种用于处理基板的方法,包含下列步骤:
在不存在基板的情况下将包含氮化硅的调适层形成到处理腔室的腔室表面上;
将远程等离子体源的内壁表面暴露于调节气体,其中所述调节气体包含含氧气体、含氮气体、或前述气体的组合;
在所述远程等离子体源中,从所述调节气体产生等离子体,以钝化所述远程等离子体源的所述内壁表面;
在利用所述调节气体钝化所述远程等离子体源的所述内壁表面之后,使用所述远程等离子体源中产生的自由基,在所述处理腔室中对N个数量的基板进行一系列的沉积或蚀刻工艺,其中N是基板的整数数量且介于1与20之间;以及
将所述处理腔室的腔室表面暴露于清洁气体和含氧气体,其中所述清洁气体包含NF3和NH3
10.如权利要求9所述的方法,其中所述调节气体进一步包含化学惰性气体,且所述惰性气体处于1:6至1:15的惰性气体对调节气体比率。
11.如权利要求9所述的方法,其中所述远程等离子体源的所述内壁表面的钝化时间与用于在N个数量的基板上进行一系列的工艺的处理时间处于1:5至1:30的比率。
12.一种用于处理基板的方法,包含下列步骤:
将远程等离子体源的内壁表面暴露于处于激发态的调节气体,以钝化所述远程等离子体源的所述内壁表面,其中所述远程等离子体源经由导管耦接至处理腔室,且所述调节气体包含一或多种含氧气体、一或多种含氮气体、或前述气体的组合;以及
在利用所述调节气体钝化所述远程等离子体源的所述内壁表面之后,使用所述远程等离子体源中产生的自由基,在所述处理腔室中对N个数量的基板进行一系列的沉积或蚀刻工艺。
13.如权利要求12所述的方法,其中所述远程等离子体源的所述内壁表面由氧化铝或氮化铝形成,且所述调节气体是所述一或多种含氧气体,并且所述一或多种含氧气体包含:氧(O2)气体、臭氧(O3)气体、氧化亚氮(N2O)、一氧化氮(NO)、一氧化碳(CO)、二氧化碳(CO2)、水蒸气(H2O)、或前述气体的任何组合。
14.如权利要求12所述的方法,其中所述远程等离子体源的所述内壁表面由氧化铝或氮化铝形成,且所述调节气体是所述一或多种含氮气体,并且所述一或多种含氮气体包含:氨(NH3)、氮(N2)、联氨(N2H4)、一氧化氮(NO)、氧化亚氮(N2O)、或二氧化氮(NO2)、或前述气体的任何组合。
15.如权利要求12所述的方法,其中所述调节气体进一步包含化学惰性气体,且所述惰性气体处于1:6至1:15的惰性气体对调节气体比例。
16.如权利要求12所述的方法,进一步包含下列步骤:
在将远程等离子体源的内壁表面暴露于调节气体之前,将所述处理腔室的内壁表面暴露于清洁气体,其中所述清洁气体包含NF3、NH3、F2、CF4、C2F6、C4F8、SF6、CHF3、CF6、H2、CCl4、C2Cl6、或前述气体的任何组合。
CN201580039614.3A 2014-07-21 2015-04-29 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能 Active CN106575609B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010101748.6A CN111286719B (zh) 2014-07-21 2015-04-29 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462027051P 2014-07-21 2014-07-21
US62/027,051 2014-07-21
US14/694,676 2015-04-23
US14/694,676 US10192717B2 (en) 2014-07-21 2015-04-23 Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
PCT/US2015/028352 WO2016014136A1 (en) 2014-07-21 2015-04-29 Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010101748.6A Division CN111286719B (zh) 2014-07-21 2015-04-29 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能

Publications (2)

Publication Number Publication Date
CN106575609A CN106575609A (zh) 2017-04-19
CN106575609B true CN106575609B (zh) 2020-03-13

Family

ID=55075148

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010101748.6A Active CN111286719B (zh) 2014-07-21 2015-04-29 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能
CN201580039614.3A Active CN106575609B (zh) 2014-07-21 2015-04-29 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010101748.6A Active CN111286719B (zh) 2014-07-21 2015-04-29 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能

Country Status (5)

Country Link
US (2) US10192717B2 (zh)
KR (1) KR102444303B1 (zh)
CN (2) CN111286719B (zh)
TW (2) TWI724801B (zh)
WO (1) WO2016014136A1 (zh)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
DE102017100725A1 (de) 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) * 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102141438B1 (ko) * 2018-07-20 2020-08-05 주식회사 히타치하이테크 플라스마 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111370282B (zh) * 2018-12-26 2022-06-24 江苏鲁汶仪器有限公司 一种等离子增强化学气相沉积腔室的清洗方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110747450A (zh) * 2019-09-12 2020-02-04 常州比太科技有限公司 一种hit镀膜设备在线清洗后腔体寿命快速恢复方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR102516340B1 (ko) * 2020-09-08 2023-03-31 주식회사 유진테크 기판 처리 장치 및 기판 처리 장치의 운용 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230187214A1 (en) * 2021-12-14 2023-06-15 Tokyo Electron Limited Remote source pulsing with advanced pulse control
KR102651336B1 (ko) * 2023-07-14 2024-03-26 주식회사 지에스엠 축사 악취 모니터링 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101440498A (zh) * 2007-11-19 2009-05-27 中芯国际集成电路制造(上海)有限公司 一种在沉积前预清洁薄膜表面氧化物的方法
CN103526177A (zh) * 2013-09-30 2014-01-22 上海华力微电子有限公司 一种用于非晶碳沉积工艺中的清洗方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0647730B2 (ja) * 1985-12-25 1994-06-22 キヤノン株式会社 堆積膜形成法
US5756222A (en) 1994-08-15 1998-05-26 Applied Materials, Inc. Corrosion-resistant aluminum article for semiconductor processing equipment
US5858464A (en) * 1997-02-13 1999-01-12 Applied Materials, Inc. Methods and apparatus for minimizing excess aluminum accumulation in CVD chambers
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
WO2006034540A1 (en) 2004-09-27 2006-04-06 Gallium Enterprises Pty Ltd Method and apparatus for growing a group (iii) metal nitride film and a group (iii) metal nitride film
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US20080087642A1 (en) * 2006-09-25 2008-04-17 Sawin Herbert H Method for removing surface deposits in the interior of a chemical vapor deposition reactor
US20080083701A1 (en) 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP4828456B2 (ja) * 2007-03-08 2011-11-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP2012513125A (ja) * 2008-12-19 2012-06-07 アプライド マテリアルズ インコーポレイテッド 薄膜およびウェハベースのソーラー用途のための微結晶シリコン合金
US20110005922A1 (en) 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
WO2011119611A2 (en) * 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8999847B2 (en) * 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8455352B1 (en) * 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
CN103219227A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
CN103352205B (zh) * 2013-05-31 2015-11-25 上海华力微电子有限公司 化学气相沉积室的清洁方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101440498A (zh) * 2007-11-19 2009-05-27 中芯国际集成电路制造(上海)有限公司 一种在沉积前预清洁薄膜表面氧化物的方法
CN103526177A (zh) * 2013-09-30 2014-01-22 上海华力微电子有限公司 一种用于非晶碳沉积工艺中的清洗方法

Also Published As

Publication number Publication date
CN106575609A (zh) 2017-04-19
KR20170031239A (ko) 2017-03-20
TW201614094A (en) 2016-04-16
KR102444303B1 (ko) 2022-09-15
US20190074163A1 (en) 2019-03-07
WO2016014136A1 (en) 2016-01-28
US20160020071A1 (en) 2016-01-21
US10916407B2 (en) 2021-02-09
CN111286719B (zh) 2022-02-08
TWI689613B (zh) 2020-04-01
CN111286719A (zh) 2020-06-16
TWI724801B (zh) 2021-04-11
TW202033814A (zh) 2020-09-16
US10192717B2 (en) 2019-01-29

Similar Documents

Publication Publication Date Title
CN106575609B (zh) 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能
US10424485B2 (en) Enhanced etching processes using remote plasma sources
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US10465294B2 (en) Oxide and metal removal
US9378969B2 (en) Low temperature gas-phase carbon removal
US9449845B2 (en) Selective titanium nitride etching
US8980763B2 (en) Dry-etch for selective tungsten removal
TW202335085A (zh) 改良的鍺蝕刻系統及方法
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20150345029A1 (en) Metal removal
US20150214066A1 (en) Method for material removal in dry etch reactor
US10755903B2 (en) RPS defect reduction by cyclic clean induced RPS cooling
US20200255940A1 (en) Method for cleaning process chamber
TWI774754B (zh) 自對準觸點與閘極處理流程
US11328909B2 (en) Chamber conditioning and removal processes

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant