KR20010076318A - 자가 세정을 위한 원격 플라즈마 소스를 구비한 반도체공정장치 - Google Patents

자가 세정을 위한 원격 플라즈마 소스를 구비한 반도체공정장치 Download PDF

Info

Publication number
KR20010076318A
KR20010076318A KR1020010002690A KR20010002690A KR20010076318A KR 20010076318 A KR20010076318 A KR 20010076318A KR 1020010002690 A KR1020010002690 A KR 1020010002690A KR 20010002690 A KR20010002690 A KR 20010002690A KR 20010076318 A KR20010076318 A KR 20010076318A
Authority
KR
South Korea
Prior art keywords
reaction chamber
gas
pipe
chamber
plasma discharge
Prior art date
Application number
KR1020010002690A
Other languages
English (en)
Other versions
KR100767762B1 (ko
Inventor
키요시 사토
카즈오 사토
히데아끼 후쿠다
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20010076318A publication Critical patent/KR20010076318A/ko
Application granted granted Critical
Publication of KR100767762B1 publication Critical patent/KR100767762B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 CVD 장치(1, 30, 110)는 반응챔버(2, 112), 반응챔버로부터 원격 위치하는 원격 플라즈마 방전챔버(13), 및 반응챔버(2, 112)와 원격 플라즈마 방전챔버(13)를 연결시키는 파이프(14)를 포함한다. 원격 플라즈마 방전챔버(13)는 플라즈마 방전 에너지에 의해 세정가스를 활성화시키고, 활성화된 세정가스는 파이프(14)를 통해 반응챔버(2, 112)의 내부로 들어가 막 형성의 결과로 반응챔버(2. 112)의 내부에 부착한 고형 물질을 기체 물질로 변화시켜 반응챔버의 내부를 세정한다. 본 장치는 다음 중에서 적어도 하나를 특징으로 한다: 즉, (a) 원격 플라즈마 방전챔버(13)는 소정 주파수를 갖는 고주파 진동 출력 에너지를 이용하는 활성종을 생성하거나. (b) 파이프(14)는 활성종에 의해 부식되지 않는 재료로 만들어지거나 또는 (c) 파이프(14)에 관통 유동형 밸브(15)가 마련된다.

Description

자가 세정을 위한 원격 플라즈마 소스를 구비한 반도체 공정장치{A semiconductor-processing device provided with a remote plasma source for self cleaning}
본 발명은 자가 세정장치를 장착한 화학증착(CVD) 장치에 관한 것이다. 구체적으로 본 발명은 원격 발생된 활성종(active species)을 이용하여 증착챔버의 내부를 세정하는 장치에 관한 것이다.
종래의 CVD 장치는 산화규소, 질화규소, 비정질 탄소 또는 벤젠 고리를 포함하는 폴리머와 같은 절연막, 규화 텅스텐(tungsten silicide), 아질산 티타늄(titanium nitrite) 또는 알루미늄 합금과 같은 도전성 막, 및 PZT(PbZr1-xTixO3)나 BST(BaxSr1-xTiO3)를 포함하는 고유전률의 막을 실리콘 기판이나 유리 기판 위에 형성하는데 이용되어 왔다.
이들 막을 형성하기 위해 여러 조성물을 갖는 반응가스 또는 2차 반응가스가 증착챔버 내부로 공급된다. 이들 가스는 플라즈마 에너지를 받아서 화학 반응을 일으켜 원하는 박막이 반도체 기판에 형성되게 한다. 반응챔버 내부에서, 화학 반응에 의해 비슷하게 생성된 막들은 웨이퍼 지지체의 내벽과 표면에 부착한다. 이들 부착 물질들은 막 형성이 반복됨에 따라 점점 누적된다. 그리고 나서 지지체의 내벽과 표면으로부터 분리되면, 이들 부착 물질은 때로 반응챔버 내부에서 부유한다. 이로써 제조된 반도체 회로의 결함으로 리드하는 불순물의 오염을 야기한다.
반응챔버 내벽에 부착되어 있는 오염 물질을 제거하기 위해, 반응챔버의 작업 중에 그 내부를 세정하는 동일 장소(in situ) 세정이 효과적이다. 이 방법은 부착 물질의 형태에 따라 선택되는 세정가스를 반응챔버로 불러들여 부착 물질을 기체 물질로 분해하여, 부착 물질을 제거하는 것이다. 예를 들면, 산화규소나 질화규소, 텅스텐이나 그 질화물 또는 그 규화물이 부착되어 있다면, CF4, C2F6, C3F8이나 NF3가 세정가스로 이용된다. 이 경우에 플루오르 원자의 활성종(플루오르 라디칼) 또는 플루오르 함유 활성종은 반응챔버의 내벽에 붙어있는 물질을 분해하여, 불순물이 가스 상태로 제거될 수 있다.
플라즈마 CVD 장치의 경우에, 막 형성에 이용되는 플라즈마 여기장치(excitation device)는 세정가스의 활성화를 위해서도 이용되기 때문에, 세정가스에 인가된 고주파(RF) 전력에 의해 전극들 간에 대형의 이온 충격이 야기된다. 그 결과 전극 표면이 손상되고 표면층이 떨어져 나가 불순물 오염을 야기하게 된다. 손상된 부분을 교체할 필요성이 빈번히 생기며, 이는 운영비를 증가시킨다.
이온 충격에 의한 이러한 결점을 해결하기 위해 원격 플라즈마 세정이 개발되었다. 본 명세서에서 참조하는 1998년 8월 4일 발행된 미국 특허 제5,788,778호와 1998년 12월 1일 발행된 미국특허 제5,844,195호에는, NF3가 세정가스로 이용되고, NF3를 활성화시키는 플라즈마 여기가, 반응챔버와는 다르고 반응챔버와 이격해 있는 제2 플라즈마 방전챔버에서 전자파를 이용하여 이루어지는 방법이 개시되어있다. 이 방법에 따라 유량제어 NF3가 제2 플라즈마 방전챔버로 유입되어, 도파관을 통해 마이크로파 발진기로부터 플라즈마 방전챔버로 공급된 2.45㎓ 마이크로파에 의해 해리되어 활성화되고, 플루오르 활성종이 생성된다. 이 때, 마이크로파 플라즈마 방전을 효과적으로 달성하기 위해 제2 플라즈마 반응챔버와 반응챔버 사이에 밸브가 마련되어 압력을 조절하고, 제2 플라즈마 반응챔버는 지정된 압력으로 유지된다. 생성된 플루오르 활성종은 도관을 통해 증착챔버로 들어가 반응챔버의 내벽에 붙어있는 물질을 분해하여 제거한다.
본 명세서에서 참조하는 1998년 8월 4일 발행된 미국특허 제5,788,799호에서는, 플루오르 활성종을 반응챔버로 불러들이는 도관을 위해서, 스텐레스강보다 알루미늄이 바람직하고, 폴리테트라플루오르에틸렌(PTFE) 같은 테플론(Teflon) 재료가 가장 바람직하다고 개시하고 있다.
본 명세서에서 참조하는 1998년 12월 1일 발행된 미국특허 제5,844,195호에서는, 제2 플라즈마 방전챔버에서 세정가스의 활성화와 더불어, 세정가스가 반응챔버에서 참 방전(true electric discharge)에 더하여 고주파 전력을 이용하여 더 보충적으로 활성화된다는 것과, 바람직하지 않은 입자를 제거하기 위해 제2 플라즈마 방전챔버와 반응챔버 사이에 필터가 마련된다고 개시하고 있다. 이 기술은 미국특허 제5,788,799호의 교시 내용에도 반영되어 있다.
전술한 원격 플라즈마 세정방법이 이온 충격에 의해 야기된 문제들을 완화시켰지만, 이들 방법을 개선해야 할 필요성이 여전히 존재한다.
본 발명의 여러 실시예 중에서, 일 실시예는 잘 손상되지 않는 재료를 포함하는 원격 플라즈마 방전챔버를 제공하고, 동시에 이온 충격없는 반응챔버의 세정을 제공하는 것이다.
본 발명의 또 다른 실시예는 원격 플라즈마 방전챔버에서의 플라즈마 점화가 쉽고 확실한 CVD 장치를 제공하는 것이다.
본 발명의 또 다른 실시예는 활성화된 세정가스의 공급이 중지된 후에 파이프 내의 잔류가스를 신속히 배기시키는 CVD 장치를 제공하는 것이다.
나아가 본 발명의 또 다른 실시예는 원격 플라즈마 방전챔버에서 활성화된 플루오르종의 양을 유지함으로써 세정가스를 반응챔버로 공급하는 플라즈마 CVD 장치를 제공하는 것이다.
본 발명의 측면은 후술하는 상세한 설명 및 첨부된 도면을 참조하여 쉽게 명백해지는데, 단지 예시하기 위한 것으로 본 발명을 제한하려는 의도는 없으며, 동일한 참조번호는 같은 부분을 가리킨다.
도1은 본 발명의 제1 실시예에 따라 구성된 반도체 공정장치의 횡단면을 도시하는 개략도,
도2는 본 발명의 제2 실시예에 따라 구성된 반도체 공정장치의 횡단면을 도시하는 개략도,
도3은 본 발명의 제3 실시예에 따라 구성된 반도체 공정장치의 횡단면을 도시하는 개략도,
도4(a)와 도4(b)는 바람직한 실시예에서 채용하는 밸브의 횡단면을 도시하는 개략도로, 도4(a)는 밸브의 폐쇄 상태를 도시하고, 도4(b)는 밸브의 개방 상태를 도시하며,
도5는 본 발명의 제4 실시예에 따라 구성된 반도체 공정장치의 횡단면을 도시하는 개략도이다.
본 발명에 따른 플라즈마 CVD 장치는 다음과 같은 실시예들을 포함한다.
바람직한 실시예에 따른 CVD 장치는, 반응챔버, 반응챔버로부터 원격 위치하는 원격 플라즈마 방전챔버와, 반응챔버와 원격 플라즈마 방전챔버를 연결시키는 파이프를 포함한다. 원격 플라즈마 방전챔버는 플라즈마 방전 에너지로 세정가스를 활성화시킨다. 활성화된 세정가스는 파이프를 통해 반응챔버의 내부로 들어가 막 형성의 결과로 반응챔버의 내부에 부착한 고형 물질을 기체 물질로 변화시켜, 반응챔버의 내부를 세정한다. 본 장치는 적어도 다음 중의 하나를 특징으로 한다.
(a) 원격 플라즈마 방전챔버(13)는 소정 주파수를 갖는 고주파 진동 출력 에너지를 이용하는 활성종을 생성한다.
(b) 파이프(14)는 활성종에 의해 부식되지 않는 재료로 만들어진다.
(c) 파이프(14)에 관통 유동형 밸브(15)가 마련된다.
본 발명의 일 실시예에 따라 본 장치는 반응챔버 내에 마련되어 처리될 또는 처리 중인 물체를 지지하는 지지체와, 반응챔버 내의 지지체와 마주하는 위치에 마련된 가스 방출판을 포함한다. 가스 방출판은 처리 중인 물체에 막을 형성하기 위하여 처리 중인 물체에 반응가스를 균일하게 공급하며, 활성화된 세정가스는 가스 방출판을 관통해 마련된 구멍으로부터 파이프를 통해 반응챔버로 공급된다.
(a)와 관련한 본 발명의 일 실시예에서, 소정 주파수는 300㎑ 내지 500㎑이다. 본 발명의 일 실시예에서 활성종은 플루오르 활성종이다. (b)와 관련한 본 발명의 일 실시예에서, 파이프의 내면은 플루오르 부동화 스텐레스강, 알루미늄 또는 알루미늄 합금으로 만들어진다. (c)와 관련한 본 발명의 일 실시예에서, 밸브가 완전 개방되었을 때 그 개구의 크기는 파이프의 내경과 실질적으로 동일하고, 밸브는 완전 개방되었을 때 파이프의 내면에 대해 돌출부를 갖지 않는다. 즉, 밸브는 완전 개방되었을 때 밸브에 대한 압력 저하가 바람직하게는 약 0.25Torr 미만이거나 유입 압력의 약 5% 미만이고, 더 바람직하게는 약 0.1Torr 미만이거나 유입 압력의 약 1% 이만이고, 가장 바람직하게는 실질적으로 압력 손실이 야기되지 않는 그러한 개구를 갖는다. 파이프는 밸브와 인접하며 직선인 것이 바람직하다. 가장 바람직하게는, 이들 세 개의 특징 전부가 결합되어, 효율적인 자가 세정 CVD 리액터를 제조하는 것이다.
(a)의 결과 다음과 같은 이점이 실현될 수 있다. 즉, 고주파(예를 들면, 400㎑) 진동 출력을 이용하여 원격 플라즈마 방전챔버를, 예를 들면 양극 처리된 알루미늄 합금으로 제작하는 것이 가능해진다. 그러므로 종래의 마이크로파 출력이 이용될 때 필요했었던 사파이어나 석영을 이용할 필요가 없다. 그리하여 공정 중 파손의 위험과 플루오르 활성종 소모의 문제들이 줄어든다. 이 외에도 세정할 때 이온 충격에 의한 전극의 손상과 전극 표면의 열화를 성공적으로 방지할 수 있다. 더욱이 복잡한 튜닝 회로가 불필요하고, 원격 플라즈마 챔버의 소형화와 원가 절감이 실현될 수 있다. 게다가 분말형 알루미늄 불화물이 전극에 흡착하는 현상이 줄어들거나 제거되고, 유지보수로 인한 장치의 정지 시간이 상당히 짧아질 수 있다. 그 결과 생산성이 개선된다.
(b)의 결과 다음과 같은 이점이 실현될 수 있다. 즉, PFA 같은 수지 재료 대신에 파이프와 밸브의 내면을 위한 플루오르 활성종에 불활성인 재료를 이용하여, 플루오르 활성종이나 불화가스에 의한 파이프나 밸브의 내면 흡착이 없어질 수 있다. 그러므로 세정이 끝나고 파이프나 밸브의 내면으로부터 방출되어 원격 플라즈마 방전챔버 내에 남아있는 플루오르 활성종이나 불화가스의 발생을 줄이거나 또는 없앨 수 있다. 따라서 플라즈마 점화 실패의 경우가 제어될 수 있다. 더욱이, 플루오르 함유 가스의 공급이 중지되었을 때 플루오르 활성종은 파이프와 원격 플라즈마 방전 챔버로부터 신속히 방출된다. 플루오르 흡착의 감소는 또 반응챔버로 들어오는 플루오르 활성종의 양을 증가시켜서, 활성종의 활성도를 유지하고 세정 효율을 개선한다.
(c)의 결과 다음과 같은 이점이 실현될 수 있다. 즉, 내경이 큰 직선 파이프와 원격 플라즈마 방전챔버와 반응챔버 사이의 유동을 제한하지 않는 밸브의 이용으로, 파이프 표면과 밸브 내의 구조와의 충돌이 줄어들기 때문에 플루오르 활성종의 불활성화(재결합)가 줄어든다. 따라서 3,000W 미만의 고주파 전력을 원격 플라즈마 방전챔버에 인가하면 2 미크론/분 이상에서 고속 세정이 가능해진다. 더욱이 충돌의 감소는 또 플루오르 활성종이 불활성화될 때 생성된 열에너지가 최소화하여 파이프와 밸브의 과열을 감소시킨다. O-링과 다른 구성 요소에 대한 열 손상과 그 결과로써 입자의 생성도 줄어들거나 없어질 수 있다. 그리하여 손상된 부분을 교체하는 빈도가 줄어들고, 장치의 운영비가 줄어드는 반면에 동시에 장치의 생산성을 늘린다.
당해기술의 숙련자는 전술한 개시 내용에 비추어 (a), (b)와 (c)의 특징들이 그 자체로써 장점이 있지만, 2개 또는 3개 전부를 결합하면 상승 작용하여 그 장점에 의한 효과를 향상시킬 수 있다는 것을 쉽게 이해하게 될 것이다.
종래의 원격 플라즈마 소스 기술의 문제점
전술한 종래의 원격 플라즈마 소스 기술은 다음과 같은 문제점들을 갖고 있다.
첫째, 마이크로파 플라즈마를 이용하기 위해 사파이어와 석영 등의 마이크로파 투과 재료를 이용하는 제2 플라즈마 방전챔버를 제작할 필요가 있다. 그러한 물질은 특히 플라즈마 생성으로 인한 열 스트레스 하에서 쉽게 깨지는 경향이 있어서, 그것들을 도관이나 파이프에 연결시키는 것이 어렵다. 또, 플루오르 활성종에의해 쉽게 부식되기 때문에 석영을 규칙적으로 교체하는 것이 필요하다. 사파이어는 플루오르 활성종에 의한 에칭에 저항력이 있긴 하지만 비싸며, 그러므로 장치 비용을 증가시킨다.
더욱이, 반응챔버와 원격 플라즈마 방전챔버를 연결시키는 파이프에 이용되는 재료와 관련하여 다음과 같은 문제점들이 야기되었다.
플루오르종을 반응챔버로 들여오는 파이프의 내면은 일반적으로 Teflon™또는 비슷한 재료로 이루어진다. 그러나 Teflon™은 해리되는 불화물과 NF3가스의 산물을 쉽게 흡착하거나 또는 흡수한다. NF3가스로부터 해리되어 또는 재결합되어 파이프 내면에 흡착되는 플라즈마 산물은 세정가스의 공급이 중지된 후에 파이프 내면으로부터 방출된다. 그 플라즈마 산물은 파이프 내에 잔류하며, 그 잔류가스가 반응챔버로 확산된다. 반응챔버가 제2 플라즈마 방전 챔버를 포함하고 있을 때, 방출된 가스는 플라즈마 방전의 점화 실패를 야기할 수 있다. 더욱이 막 형성을 위한 반응가스가 잔류가스가 남아있는 영역으로 유동한다면 화학반응이 일어날 수 있으며, 원치않는 막, 입자 또는 분말이 파이프 내에 생성된다. 이들 산물은 파이프 내에 누적되어 나중에 반응챔버로 유동하고, 반도체 기판 표면에 불순물 오염을 야기한다. 이러한 발생을 방지하기 위해 헬륨이나 아르곤 가스를 이용하여 여러 시간 동안 파이프의 내면을 퍼징하여 잔류 가스를 제거하는 것이 가능하다. 그러나 이러한 공정은 반도체 공정장치의 생산성을 현저하게 낮춘다.
더욱이 플루오르 활성종을 반응챔버로 들여오는 파이프의 내면이 스텐레스강, 알루미늄 또는 알루미늄 합금으로 만들어지면, 파이프 내면과 플루오르 활성종 사이의 반응 때문에 불화물이 형성되고, 반응챔버로 들어오는 플루오르 활성종의 양이 감소한다. 그 결과 반응챔버의 세정 시간이 늘어나고 장치의 생산성이 떨어진다.
반응챔버와 원격 플라즈마 방전챔버를 연결시키는 파이프의 구조나 기능과 관련하여 다음과 같은 문제점들이 야기되었다.
세정 속도를 더 빠르게 하기 위해, 플라즈마를 생성하기 위해 고전력 마이크로파 에너지가 이용될 수 있다. 그러나 그렇게 활력있는 플라즈마는 원격 플라즈마의 열화를 초래하고, 하류 반응챔버를 오염시키는 입자들이 생성된다. 이러한 바람직하지 않은 입자가 반응챔버로 유동하는 것을 방지하기 위해 증착챔버와 반응챔버 사이에 필터를 설치하는 것은, 후술하게 될 플루오르 활성종의 불활성화 또는 재결합 때문에 세정 속도를 낮춘다. 그러므로 세정 속도를 빠르게 하려는 주 목적이 무시된다.
나아가, 원격 플라즈마 방전챔버 내에서 플루오르 활성종을 생성하기 위해 NF3를 고효율로 해리하기 위해서, 원격 플라즈마 방전챔버 내에서 약 4-20Torr의 압력이 필요하다. 이러한 압력을 달성하기 위해서 압력 조절을 위한 밸브가 원격 플라즈마 챔버와 하류 반응챔버 사이에 마련된다. 원격 플라즈마 챔버 내의 압력은 가스 유로 내에 약간의 장애를 남겨두면서 밸브를 부분적으로만 개방 유지함으로써 늘어날 수 있다. 그러나 압력을 올리기 위해 밸브를 이용하여 유로를 제한하면,플루오르 활성종의 불활성화와 플라즈마 연소 같은 다른 불편을 야기한다. 원격 플라즈마 방전챔버 내에 생성된 플루오르 활성종은 금속 표면과의 접촉으로 불활성화된다. 막 형성이 진행되는 곳의 반응챔버로 도관을 통해 들어오는 동안, 발생된 플루오르 활성종은 통로를 좁혀서 유로를 제한하는 밸브와 충돌하여 분자로 되돌아가거나 아니면 밸브 표면과 반응하여 불활성화된다. 그 결과 플루오르 활성종의 양이 감소한다. 마찬가지로 제2 플라즈마 방전챔버로부터 반응챔버로의 파이프가 너무 길거나 또는 예각으로 휘어 있다면, 도중에 파이프 표면과의 접촉 가능성이 더 높기 때문에 또는 굽은 파이프의 모서리와 충돌함으로써 플루오르 활성종의 양이 줄어든다. 감소된 플루오르 활성종은 하류 증착챔버 내의 세정 속도를 낮추어 세정이 비효율적이 된다.
원격 플라즈마 방전챔버에서 생성된 플루오르 활성종은, 금속 표면과 접촉하여 활성을 잃어버릴 때 열 에너지를 대량 방사한다. 이러한 열 에너지 때문에 접촉면의 온도가 상승한다. 제2 플라즈마 방전챔버를 반응챔버에 연결시키는 파이프와 그 파이프에 장착된 밸브에 대하여, 내부를 외부 환경으로부터 밀폐시키기 위해 플루오르 함유 고무와 다른 물질로 만들어진 O-링이 이용된다. 플루오르 활성종과의 접촉에 의해 야기된 전술한 과열이 O-링을 파괴시킨다. 특히 압력 조절을 위한 전술한 밸브 내에서, O-링이 파손될 위험이 있다. O-링이 손상되면 파이프의 기밀이 유지될 수 없다. 그 결과 외부 공기가 반응챔버로 스며들기 때문에 불순물 오염이 발생하거나 또는 인간에 유해한 플루오르 활성종 같은 가스의 누출이 발생한다. 열화된 O-링 재료가 파이프 내에서 유동하여 반응챔버를 포함하는 반도체 공정장치에 대하여 내부 오염을 야기한다. 또한 파이프 내의 가동 부품을 위해, 예를 들면 밸브용의 샤프트 시일로 사용된 플루오르 함유 고무(예를 들면, VITON™이나 Karlez™)가 과열되면, 탄성이 열화 및 상실되어 부품의 가동성을 방해한다.
손상된 부품을 빈번하게 교체할 필요가 있고, 이로써 운영비를 증가시킨다. 말할 것도 없이 부품 교체는 장치의 운영 시간을 감소시켜 생산성을 낮춘다.
한편, 정지 기능이 있는 밸브가 원격 플라즈마 방전챔버와 하류 반응챔버 사이에 마련되지 않으면, 반응챔버 내에서 기판에 막을 형성할 때 이용되는 반응가스가 역방향으로 유동할 수 있고 아니면 증착챔버로부터 원격 플라즈마 방전챔버로 확산될 수 있다. 반응가스는 불완전한 반응 때문에 분말 상태에서 원격 플라즈마 방전 챔버에 고체 물질을 형성할 수 있다. 그리고 나서 분말 상태의 이들 고체 물질이 막을 형성할 때 반응챔버로 유동하면 입자들이 기판을 오염시킬 수 있다. 더욱이, 원격 플라즈마 방전챔버의 내면에 흡착된 반응가스는 원격 플라즈마 방전챔버에서의 플라즈마 방전을 위한 점화 전기 포텐셜을 상승시킨다. 점화 전기 포텐셜값이 원격 플라즈마 방전챔버를 위한 설계값보다 더 높아지면 플라즈마 방전이 실행될 수 없게 될 가능성이 있다. 반응가스의 역방향 유동과 확산을 방지하기 위해 원격 플라즈마 방전챔버는 반응챔버에 대해 실링 가능한 것이 바람직하다. 그러나 이는 반응챔버에 도달할 수 있는 플루오르 활성종의 감소를 분명히 야기할 수 있고, 만족스러운 세정 속도와 성능을 달성할 수 없다.
바람직한 실시예의 CVD 장치의 기본 구조
본 발명에 따른 CVD 장치는 다음과 같은 실시예를 포함하며, 상기 문제들을해결할 수 있다.
CVD 장치는 반응챔버, 반응챔버로부터 원격 위치한 플라즈마 방전챔버 (즉, 원격 플라스마 소스)와, 반응챔버와 원격 플라즈마 방전챔버를 연결하는 파이프를 포함한다. 원격 플라즈마 방전챔버는 플라즈마 방전 에너지로 세정가스를 활성화한다. 활성화된 세정가스는 파이프를 통해 반응가스의 내부로 들어가, 막 형성의 결과로 반응챔버의 내부에 부착한 고체 물질을 부식시켜 반응가스의 내부를 세정한다. 본 장치는 적어도 다음 중의 하나를 특징으로 한다.
(a) 원격 플라즈마 방전챔버(13)는 소정 주파수를 갖는 고주파 진동 출력 에너지를 이용하는 활성종을 생성한다.
(b) 파이프(14)는 활성종에 의해 부식되지 않는 재료로 만들어진다.
(c) 파이프(14)에 관통 유동형 밸브(15)가 마련된다.
여러 실시예에서, 본 장치는 반응챔버 내에 마련되어 처리될 또는 처리 중인 물체를 지지하는 지지체와, 처리 중인 물체에 막을 형성하기 위해 반응가스를 처리 중인 물체에 균일하게 공급하기 위하여, 반응챔버 내의 지지체와 마주하는 위치에 마련된 가스 방출판을 더 포함하며, 활성화된 세정 가스는 파이프를 통해 가스 방출판에 마련된 구멍으로부터 반응챔버로 공급된다.
또 다른 실시예에서, 본 장치는 냉벽 리액터를 통과하는 1회 통과 수평 박판 가스 유로를 위한 구성을 갖는다. 본 장치는 반응챔버 내에 마련되어 처리될 물체를 지지하고, 투과 챔버벽을 통해 제공된 복사열을 흡수하는 서셉터를 더 포함한다. 활성화된 세정가스가 서셉터의 상류에 위치한 챔버벽의 유입구를 통해 공급된다.
전술한 (a), (b)와 (c)를 서로 독자적으로 채택할 수 있지만, 예를 들어 (a)와 (b)의 결합은 다음 특징 중에서 어느 것이든 포함할 수 있다.
소정 주파수는 약 300㎑-500㎑이다.
활성종은 플루오르 활성종이다.
파이프의 내면은 플루오르 부동화 스텐레스강, 알루미늄 또는 알루미늄 합금으로 만들어진다.
CVD 장치는 반응가스를 세정가스와 별도로 반응챔버로 들여오는 가스 도관을 포함한다.
CVD 장치는 동일 장소 플라즈마 생성을 위한 구조를 갖는 플라즈마 CVD를 위한 구성을 갖는다.
가스 도관의 일단은 반응챔버에 연결된다.
가스 도관의 일단은 파이프에 연결된다.
CVD 장치는 원격 플라즈마 방전챔버와 반응챔버 사이에서 미리 결정된 파이프의 위치에 있는 밸브를 포함한다.
밸브의 내부는 플루오르 부동화 알루미늄으로 만들어진다.
가스 도관의 일단은 밸브와 반응챔버 사이에서 미리 결정된 파이프의 위치에 있는 파이프에 연결된다. CVD 장치는 원격 플라즈마 방전챔버와 반응챔버 사이에서 미리 결정된 파이프의 위치에 있는 밸브를 포함한다.
예들 들어, (a)와 (c)의 결합은 다음 특징 중에서 어느 것이든 포함할 수 있다.
소정 주파수는 약 300㎑-500㎑이다.
활성종은 플루오르 활성종이다.
파이프와 밸브는 미리 결정된 온도로 가열된다.
가스 도관의 일단은 반응챔버에 연결된다.
가스 도관의 일단은 파이프에 연결된다.
유리한 효과를 향상시키기 위해 (a), (b)와 (c)의 결합이 이용될 수 있다. 그러한 특징들의 장점은 전술되어 있다.
실시예 1
주요 구조
도1을 참조하여 제1 실시예를 설명한다.
도1은 본 실시예를 예시하는 플라즈마 CVD 장치의 개략 단면도이다. 반도체 웨이퍼(9)나 다른 기판에 박막을 형성하기 위해 이용되는 플라즈마 CVD 장치(1)는 반응챔버(2), 반도체 웨이퍼(9)를 지지하기 위해 반응챔버 내에 마련되는 지지체(3), 지지체(3)를 마주하게 위치하여 반응가스를 반도체 웨이퍼(9)에 균일하게 분출시키는데 이용되는 샤워헤드(4), 반응챔버(2)로부터의 반응가스와 부산물을 배출시키는 유출구(20)와, 원격 플라즈마 방전챔버(13)를 포함한다. 원격 플라즈마 방전챔버(13)는 반응챔버(2)와 원격 위치해 있으며, 파이프(14)와 밸브(15)에 의해 샤워헤드(4)에 연결된다. 원격 플라즈마 방전챔버(13)는 지정 주파수의 고주파 진동 출력 에너지를 이용하여 활성종을 생성하고, 파이프(14)는 활성종에 의해부식되지 않는 재료로 만들어지는 특징을 갖는다.
반응챔버(2)의 일측에는 개구부(19)가 형성되어 있으며, 반응챔버(2)는 게이트 밸브(18)를 거쳐 반도체 웨이퍼나 다른 기판을 출입하기 위한 이송챔버(미도시)에 연결된다.
반응챔버(2) 내에 마련되어 반도체 웨이퍼(9)를 배치하는데 이용되는 지지체(3)는, 양극 처리된 알루미늄이나 알루미늄 합금으로 만들어지고 플라즈마 방전 전극의 일측을 구성하기 위해 접지(27)된다. 그러므로 예시된 실시예의 반응챔버(2)는 동일 장소(챔버) 플라즈마 생성을 위해 구성된 플라즈마 CVD 챔버이다. 예시된 지지체(3) 안에는 고리형의 가열 엘리먼트(26)가 묻혀 있고 반도체 웨이퍼(9)의 온도는 온도 제어기(미도시)를 이용하여 미리 결정된 온도로 제어된다. 지지체(3)는 지지체(3)를 지지 피스톤(29)을 통해 승강시키는 구동 메커니즘(25)에 연결된다.
반응챔버(2) 내에는 샤워헤드(4)가 지지체(3)를 마주하는 위치에 마련된다. 샤워헤드(4)에는 수천 개의 미세 구멍이 마련되어 반응가스를 반도체 웨이퍼(9)로 분사시킨다. 샤워헤드(4)는 매칭 회로(10)를 거쳐 고주파 진동기(8)에 전기적으로 연결되어 플라즈마 방전 전극의 다른 쪽을 구성한다. 막 형성에 이용될 반응가스를 샤워헤드(4)로부터 가져오기 위해 반응가스 도관(11)은 파이프(14)에 연결된다. 가스 도관(11)의 수는 한 개로 한정되지 않는다. 반응가스의 유형에 따라 필요한 수의 가스 도관이 설치될 수 있다. 가스 도관(11)의 일단은 가스 유입구(5)를 구성하여 반응가스가 유입하게끔 하고, 타단은 반응가스 배출구(7)를 구성하여 반응가스가 샤워헤드(4) 쪽으로 유출하게끔 한다. 반응가스 도관(11)의 중앙에는 질량 유량 제어기(미도시)와 밸브(6)가 위치해 있다.
반응챔버(2)의 측벽에는 유출구(20)가 마련되어 있다. 유출구(20)는 파이프(17)를 통해 진공 배기 펌프(미도시)에 연결된다. 유출구(20)와 진공 펌프 사이에는 컨덕턴스 제어밸브(21)가 마련되어 반응챔버(2) 내의 압력을 조절한다. 컨덕턴스 제어밸브(21)는 외부 조절기(28)와 전기적으로 연결된다.
부가적으로, 반응챔버(2) 내의 압력을 측정하기 위해 압력 게이지(28a)가 마련되는 것이 바람직하다. 이 압력 게이지(28a)는 조절기(28)와 전기적으로 연결된다.
원격 플라즈마 방전챔버
본 실시예에 따른 원격 플라즈마 방전챔버는 반응챔버(2)와 원격 위치한다. 원격 플라즈마 방전챔버(13)는 양극 처리된 알루미늄 합금으로 만들어진다. 원격 플라즈마 방전챔버(13)는 파이프(14)를 통해 반응챔버 내의 샤워헤드(4)에 연결된다. 파이프(14)의 중앙에는 밸브(15)가 마련된다. 이 파이프(14)의 내면은 플루오르 부동화 스텐레스강으로 만들어지는 것이 바람직하지만, 알루미늄이나 플루오르 부동화 알루미늄 합금으로도 만들어질 수 있다. 또한 마찬가지로 밸브(15)의 내면은 플루오르 부동화 알루미늄 합금으로 만들어진다. 파이프(14)의 일단은 세정가스 유입구(12)를 구성하여 세정가스가 그 안으로 유동하게끔 하고, 타단은 세정가스 배출구(16)를 구성하여 세정가스를 샤워헤드(4)로 들어가도록 한다.
세정가스 유입구(12)로부터 유입하는 세정가스를 위해, 불화질소, 불화탄소및 불화염소, 불화질소나 불화탄소의 혼합가스 또는 상기 가스들과 산소, 질소 또는 불활성 가스와의 혼합가스 등의 플루오르 함유 가스가 이용될 수 있다. 구체적으로 NF3, ClF3, CF4, C2F6, C3F8와 산소와의 혼합가스, NF3와 질소와의 혼합가스, NF3와 희석가스와의 혼합가스가 이용될 수 있다. 희석가스에 대해서는 헬륨, 아르곤, 네온, 크세논 또는 크립톤이 이용될 수 있다.
반응챔버(2)의 측벽에 관찰창(23)이 더 마련되는 것이 바람직하고, 전하결합장치(CCD) 검출기(22)가 관찰창(23)에 장착된다. 게다가, 모니터(24)가 CCD 검출기(22)에 설치된다. 관찰창(23)은 사파이어로 만드는 것이 바람직하지만, 산화알루미늄도 이용될 수 있다. 또한 CCD 검출기 외에도, 광전자 증배관이나 광전 변환기도 이용될 수 있다.
플라즈마 CVD 작동
본 실시예에 따른 플라즈마 CVD 장치의 작동을 설명한다. 동작은 대략 두 개의 순서로 나누어진다: (1) 반도체 웨이퍼(9)에 막을 형성하는 박막 형성 순서 및 (2) 반응챔버의 내면을 세정하는 세정 순서. 박막 형성 순서는 예로서 반도체 웨이퍼(9)에 산화규소를 형성하는 것으로 설명된다.
첫째, 반응챔버(2)의 내부가 진공펌프(미도시)에 의해 비어지고 유출구(20)를 통해 배기된다. 반응챔버 내의 압력은 1Torr 내지 8Torr의 범위에서 컨덕턴스 제어밸브(21)의 개방도에 의해 조절될 수 있다.
다음에, 가열 엘리먼트(26)에 의해 가열되는 지지체(3)는 온도 조절기(미도시)를 이용해 지정된 온도에서, 바람직하게는 300℃-420℃(572℉-788℉)에서 반도체 웨이퍼(9)를 제어한다.
계속하여, 질량 유량 제어기(미도시)에 의해 제어되는 반응가스인 SiH4, NH3와 N2는 반응가스 유입구(5)로부터 유입하여, 밸브(6)를 거쳐 가스 배출구(7, 32)를 통해 샤워헤드(4)로 들어간다. 보다 상세히 후술되는 도2의 실시예에서, 반응가스인 SiH4와 NH3는 반응가스 유입구(5)로부터 유입하고, N2만 세정가스 유입구(12)로부터 유입한다. 이 경우에 SiH4와 NH3가스의 원격 플라즈마 방전챔버(13)로의 유입은, 밸브(15)가 마련되어 있지 않더라도 유입구(12)로부터 유입하는 N2가스에 의해 금지된다. 혼합된 반응가스는 샤워헤드(4)의 하면에 형성된 미세 구멍으로부터 균일하게 반도체 웨이퍼(9)로 주입된다.
13.56㎒의 고주파 전력이나 13.56㎒와 430㎑의 혼합 전력이 고주파 진동기(8)에 의해 샤워헤드(4)에 인가된다. 그 결과 플라즈마 반응 영역이, 반응챔버(2) 내의 동일 장소 플라즈마 생성을 위한 일 전극으로 이용되는 샤워헤드(4)와 다른 전극으로 이용되는 지지체(3) 사이의 공간에 형성된다. 그 영역 내의 반응가스의 분자들은 활성화되어 플라즈마 에너지에 의해 이온화된다. 이온화된 분자들은 반도체 웨이퍼(9) 상에서 화학작용을 일으키고, 질화규소가 형성된다.
박막 형성 공정이 종료되면, 밸브(6)는 폐쇄되고, 동시에 게이트 밸브(18)가 개방된다. 공정 처리된 반도체 웨이퍼(9)는 개구부(19)를 통해 자동 이송 로봇(미도시)에 의해 인접한 이송챔버(미도시)로 옮겨진다. 반응챔버(2)가 비어지고 배기된 후에, 미처리된 반도체 웨이퍼는 이송챔버로부터 이송되어 오고, 게이트 밸브(18)가 폐쇄되며, 상기 순서가 반복된다.
박막 형성 순서가 연속 이행되는 동안에, 바람직하지 않은 산물이 반응챔버(2)의 내벽과 지지체의 표면과 양측에 부착한다. 바람직하지 않은 산물은 반응챔버 내에서 점점 누적되어 떨어져 나와 부유하여, 입자 오염을 야기한다. 결과적으로 반응챔버(2)의 내부를 규칙적으로 (예를 들면, 다음 웨이퍼를 언로딩 및 로딩하는 사이에서 매번 박막 형성 공정이 끝난 후) 세정할 필요가 있다. 다음에는 반응챔버(2)의 내벽에 부착한 질화규소를 제거하기 위한 세정순서를 설명한다.
세정 동작
세정가스로 이용되는 NF3와 아르곤의 혼합가스는 지정된 유량으로 세정가스 유입구(12)로 제공되어 원격 플라즈마 방전챔버(13)로 들어간다. 플루오르 함유 가스를 위한 바람직한 유량은 약 0.1slm과 5.0slm 사이이며, 운반가스를 위한 바람직한 유량은 약 0.5slm과 10slm 사이이다. 바람직하게 불활성 운반가스는 플루오르 함유 가스의 유량보다 약 2 내지 3배이다. 원격 플라즈마 방전챔버(13) 내에서 300㎑ 내지 500㎑의 고주파 출력이 1,000W 내지 5,000W의 전력으로 유동 세정가스에 인가된다. 이 에너지를 가지고 세정가스가 소정 효율로 해리되고 활성화되어 플루오르 활성종이 생성된다.
생성된 플루오르 활성종은, 내면이 플루오르 부동화된 파이프(14)와밸브(15)를 통해 샤워헤드(4)로 들어간다. 샤워헤드(4)로부터 반응챔버(2)로 주입되는 플루오르 활성종은 반응챔버(2)의 내벽과 다른 표면에 부착한 고형의 질화규소와 화학반응을 일으키고, 고형의 부착 물질을 기체 물질로 바꾼다. 그 결과, 반응챔버 내의 기체 분자의 수가 늘어나지만, 반응챔버 내의 압력은, 압력 게이지(28a)에 의해 측정되는 반응챔버(2) 내의 압력에 대응하여 컨덕턴스 제어밸브(21)의 개구 크기나 각도를 실시간으로 제어하는 조절기(28)에 의해 특정값에서 항상 유지된다.
플루오르 활성종이 반응챔버(2) 내로 유동할 때 처음에 플루오르 활성종과 고형의 질화규소는 격렬하게 반응하여 빛을 방출한다. 이러한 빛의 방출은 관찰창(23)을 통해 CCD 모델 검출기에 의해 검출되고, 모니터(24)로 확인할 수 있다. 시간이 지남에 따라, 플루오르 활성종과 고형의 질화규소 사이의 반응은 감퇴되어 빛의 방출을 확인하는 것이 불가능해진다. 더욱이, 컨덕턴스 제어밸브(21)의 개구 각도가 특정값에 가까워진다. 이 개구 각도가 부착물질이 존재하지 않는 상태에 대해 미리 결정된 (메모리에 저장된) 값의 개구 각도에 거의 일치해질 때, 조절기(28)는 세정의 완료를 감지하여 NF3의 공급을 중지하고, 동시에 아르곤 가스만을 계속 공급한다. 아르곤 가스가 원격 플라즈마 전하 챔버 내, 반응챔버(2) 내, 그리고 파이프(14) 내에 남아있는 플루오르 활성종을 완전히 세정하여, 세정 순서를 종료한다.
실시예 2
구조
도2는 본 실시예에 따른 또 다른 예를 보여준다. 도1의 플라즈마 CVD 장치와 달리, 도2의 플라즈마 CVD 장치(30)는 원격 플라즈마 방전챔버(13)와 가스 배출구(32) 사이에 위치한 접합부(31)에, 반응 도관(11)의 일단과 파이프(14) 사이에 링크를 포함한다. 반응가스와 세정가스는 접합부(31)에서 혼합되어 가스 유입구(32)로부터 샤워헤드(4)로 들어간다. 그 외 장치(30)의 구조는 도1의 장치(1)와 유사하다.
예시된 예에서, 밸브(15)는 접합부(31) 이전의 플라즈마 방전챔버 측에 설정된다. 파이프(14)와 밸브(6, 15)의 내면에 대해, 플루오르 부동화 알루미늄, 알루미늄 합금, 스텐레스강 또는 니켈 재료가 이용되는 것이 바람직하긴 하지만, 알루미늄이나 알루미늄 합금도 이용될 수 있다. 밸브(6, 15)의 실링 재료로, PTFE(폴리테트라플루오로에틸렌), PFA(테트라플루오로에틸렌·페르플루오로알킬비닐 에테르 코폴리머) 또는 PCTFE(폴리클로로트리플루오로에틸렌) 또는 페르플루오로엘라스토머가 이용되는 것이 바람직하긴 하지만, 내열성과 내식성을 갖는 수지나 플루오르 함유 고무(예를 들면, VITON™이나 Kalrez™)도 이용될 수 있다.
도2에 도시된 실시예의 변형예로써, 밸브(15)가 제거될 수 있다. 이 경우에 반응가스 도관(11)의 일단은 원격 플라즈마 방전챔버(13)와 가스 배출구(32) 사이의 지정 위치에서 파이프(14)에 연결되어 접합부를 구성한다.
플라즈마 CVD 동작과 세정 동작은 실시예 1에서 기술된 대로 이루어질 수 있다.
실시예 3
주요 구조
도3은 본 실시예에 따른 플라즈마 CVD 장치의 바람직한 실시예의 횡단면을 도시하는 개략도이다. 반도체 웨이퍼(9)나 다른 기판에 박막을 형성하기 위해 이용되는 플라즈마 CVD 장치(1)는 반응챔버(2), 반도체 웨이퍼(9)를 배치하기 위해 반응챔버(2) 내에 마련되는 지지체(3), 지지체(3)와 마주하게 위치하며 반응가스를 반도체 웨이퍼(9)에 균일하게 주입하기 위해 이용되는 샤워헤드(4)와, 반응챔버의 내면을 비우기 위한 유출구(20)를 포함한다. 원격 플라즈마 방전챔버(13)는 반응챔버(2)와 원격 위치하며, 파이프(14)와 밸브(15)를 거쳐 샤워헤드(4)에 연결된다. 원격 플라즈마 방전챔버(13)는 지정 주파수의 고주파 진동 출력 에너지를 이용하여 활성종을 생성하는 특징을 갖는다.
반응챔버(2)의 일측에는 개구부(19)가 형성되고, 반응챔버(2)는 게이트 밸브(18)를 거쳐 반도체 웨이퍼나 다른 기판을 이송하기 위한 이송챔버(미도시)와 연결된다.
반응챔버(2) 내에 마련되어 반도체 웨이퍼(9)를 배치하기 위해 이용되는 지지체(3)는 양극 처리된 알루미늄이나 알루미늄 합금으로 만들어지며, 동일 장소 플라즈마 방전을 위한 하나의 전극을 구성하기 위해 접지(27)된다. 그러므로 예시된 실시예의 반응챔버(2)는 동일 장소(챔버) 플라즈마 생성을 위한 구성을 갖는 플라즈마 CVD 챔버이다. 지지체(3) 내에는 고리형 가열 엘리먼트(26)가 내장되어 있고, 반도체 웨이퍼의 온도는 온도 조절기(미도시)를 이용해 지정 온도에서 제어된다. 지지체(3)는 지지 피스톤(29)을 통해 지지체를 승강시키는 구동 메커니즘(25)에 연결된다.
반도체 웨이퍼(9)를 지지하는 지지체(3)는 반드시 양극 처리된 알루미늄이나 알루미늄 합금으로 만들어지도록 제한되지는 않는다. 대신에 세라믹 히터가 이용될 수 있다. 세라믹 히터는 세라믹판을 포함하고, 내장된 저항 가열 엘리먼트와 금속 엘리먼트가 동일 장소 플라즈마 방전을 위한 하나의 전극을 형성한다. 금속 엘리먼트는 가능하다면 플라즈마 방전 전극에 접지된다. 세라믹판은 질화알루미늄, 산화마그네슘, 산화알루미늄 등에 대해 뛰어난 내식성을 가지며, 히터로서 쓰일 수 있는 충분한 열 전도성을 갖는 재료로 만들어진다. 내열 엘리먼트를 위해 텅스텐이 이용된다. 동일 장소 플라즈마 방전을 위한 하나의 전극을 형성하는 금속 엘리먼트로는 텅스텐이나 몰리브덴이 이용될 수 있다.
반응챔버(2) 내에는 지지체(3)와 마주하는 위치에 샤워헤드(4)가 마련된다. 샤워헤드(4)에는 반응가스를 반도체 웨이퍼(9)에 주입시키기 위한 수천 개의 구멍이 마련된다. 샤워헤드(4)는 매칭 회로(10)를 거쳐 고주파 진동기(8)와 전기적으로 연결되어, 동일 장소 플라즈마 방전을 위한 또 다른 전극을 구성한다. 막 형성에 이용될 반응가스를 샤워헤드(4)로부터 가져오기 위해, 반응가스 도관(11)이 파이프(14)에 연결된다. 가스 도관(11)의 수는 한 개로 한정되지 않는다. 반응가스의 유형에 따라 필요한 수의 가스 도관이 설치될 수 있다. 가스 도관(11)의 일단은 가스 유입구(5)를 구성하여 반응가스가 그 안으로 유동하게끔 하고, 타단은 접합부(31)에서 파이프(14)에 연결된다. 반응가스는 가스 배출구(7)로부터파이프(14)를 거쳐 샤워헤드(4)의 내부로 들어간다. 질량 유량 제어기(미도시)와 밸브(6)가 반응가스 도관(11)의 중앙에 위치해 있다.
반응챔버(2)의 측벽에는 유출구(20)가 마련되어 있다. 유출구(20)는 파이프(17)를 통해 진공 배기 펌프(미도시)에 연결된다. 유출구(20)와 진공 펌프 사이에는 컨덕턴스 제어밸브(21)가 마련되어 반응챔버(2) 내의 압력을 조절한다. 컨덕턴스 제어밸브(21)는 외부 조절기(28)와 전기적으로 연결된다.
반응챔버(2) 내의 압력을 측정하기 위해 압력 게이지(28a)가 마련되는 것이 바람직하다. 압력 게이지는 조절기(28)와 전기적으로 연결된다.
원격 플라즈마 방전 챔버
전술한 것처럼 본 실시예의 원격 플라즈마 방전 챔버(13)는 반응챔버(2)와 이격 위치한다. 원격 플라즈마 방전챔버(13)는 300㎑ 내지 500㎑의 고주파 범위 내의 주파수를 이용하는 고주파 방전 장치이다. 전술한 것처럼 원격 플라즈마 방전챔버의 주파수로 약 2.45㎓의 마이크로파를 이용하는 것은 바람직하지 않은데, 쉽게 열화되는 방전챔버를 필요로 하기 때문이다. 부가적으로, 1㎒ 내지 27㎒의 주파수 범위가 이용되면, 안정된 플라즈마 방전을 실현하기 위해 고주파 진동기와 원격 플라즈마 방전챔버 사이에 자동 정합 트랜스포머가 설치되어야 한다. 이 자동 정합 트랜스포머를 추가하면 비용이 늘어난다. 동시에 반응챔버 근처에 원격 플라즈마 방전챔버와 자동 정합 트랜스포머의 설치를 필요로 하기 때문에, 그리고 전체 반도체 공정장치의 크기를 늘리거나 또는 부품들 사이의 공간을 상실하므로 유지보수 작업을 어렵게 할 수도 있기 때문에 바람직하지 않다. 300㎑ 내지 500㎑의 주파수 범위는 세정가스를 효율적으로 활성화시킬 수 있고, 플라즈마 방전 챔버가 쉽게 열화되지 않는 재료로 만들어질 수 있게 하며, 그 자체로 보다 간결한 장치를 실현한다. 보다 안정된 플라즈마 방전을 실현하기 위해, 바람직한 범위는 350㎑ 내지 450㎑이며, 더 바람직한 범위는 400㎑ 내지 430㎑이다.
바람직하게, 원격 플라즈마 방전챔버(13)는 양극 처리된 알루미늄 합금으로 만들어진다. 예시된 실시예에서, 원격 플라즈마 방전챔버(13)는 파이프(14)를 통해 반응챔버(2) 내의 샤워헤드(4)에 연결된다. 파이프(14)의 중앙에는 밸브(15)가 마련된다. 파이프(14)는 직선 구조이다. 파이프의 내경은 적어도 1/2인치이지만, 바람직하게는 1인치 이상이다. 이 외에도 밸브(15)는 개방되었을 때 유동을 제한하는 구조가 통로 내에 존재하지 않는 것을 특징으로 한다. 개방된 통로의 내경은 파이프(14)보다 극단적으로 많이 작지는 않으며, 동일한 것이 바람직하다. 결과적으로, 세정가스가 원격 플라즈마 방전챔버로부터 반응챔버로 유동할 때 파이프(14)와 밸브(15)에 압력 손실이 그다지 일어나지 않는다. 바람직하게는, 밸브(15)에 대한 압력 저하가 약 0.25Torr (바람직한 실시예에서, 유입 압력의 약 5%) 미만이고, 더 바람직하게는 약 0.1Torr (바람직한 실시예에서, 유입 압력의 약 1%) 미만이다.
파이프(14)는 알루미늄이나 알루미늄 합금으로 만들어지지만 내식성의 스텐레스강도 이용될 수 있다. 파이프(14)의 일단은 원격 플라즈마 방전챔버(13)에 연결되고, 타단은 세정가스를 샤워헤드(4)로 들여보내기 위해 이용되는 가스 배출구(7)를 구성한다. 더욱이, 세정가스 유입구(12)가 마련되어 세정가스를 원격플라즈마 방전챔버(13)로 들여보낸다. 질량 유량 제어기(미도시)에 의해 지정 유량에서 제어된 후에 세정가스는 세정가스 유입구(12)로 들어간다.
바람직하게 파이프(14)와 밸브(15)는, 반응가스와 세정가스가 그들 표면에 흡착하지 못하게 하는 온도까지 히터(미도시)에 의해 가열된다. 파이프(14)와 밸브(15)의 온도는 반응가스와 세정가스의 유형에 따라 선택될 수 있다. 나아가, 필요하다면 도관(11)의 부분들, 밸브(6)와 가스 유입구(5)가 지정 온도로 히터(미도시)에 의해 가열될 수 있다.
관통 유동형 밸브
도4에는 본 실시예에서 이용되는 밸브(15)의 횡단면이 도시되어 있다. 도4(a)는 밸브(15)의 폐쇄 상태를 도시하고, 반면에 도4(b)는 밸브(15)의 개방 상태를 도시한다. 밸브(15)는 알루미늄이나 알루미늄 합금으로 만들어진 본체(24)를 포함한다. 밸브 본체(30)는 볼트(33)에 의해 축(32)에 고정된다. 밸브 본체(30)에는 본체(30)의 내부를 실링함으로써 기밀 결합시키는 O-링(34)이 장착해 있다. 밸브(15)의 상류 개구부(22)에는 원격 플라즈마 방전챔버에 연결될 파이프(14)(도3)의 부분들을 장착할 수 있다. 하류 개구부(23)에는 가스 배출구(7)에 연결될 파이프(14)의 부분들을 장착할 수 있다. 개구부(23, 22)의 장착 방향은 제한되지 않으며, 환경에 따라 변경될 수 있다. 밸브(15)의 본체(24)에 이용되는 재료는 알루미늄이나 알루미늄 합금으로 제한되지 않는다. 뛰어난 내식성을 갖는, 스텐레스강 등의 다른 재료도 이용될 수 있다. 밸브 본체(30)는 알루미늄이나 알루미늄 합금으로 만들어지지만, 니켈, 티타늄, 스텐레스강 등의 뛰어난내식성을 갖는 금속이나 폴리이미드 수지처럼 내식성이 뛰어난 수지도 이용될 수 있다. 부가적으로 볼트(33)와 축(32)은 알루미늄, 알루미늄 합금, 니켈과 스텐레스강 등의 뛰어난 내식성을 갖는 금속으로 만들어진다. O-링(34)은 이용될 유동가스에 의한 열화에 견디는 탄성재료를 포함한다. O-링(34)은 플루오르 함유 고무를 포함하는 것이 바람직한데, 페르플루오로엘라스토머를 포함하는 것이 보다 바람직하다.
본 실시예에 이용된 밸브(15)와 관련하여, 폐쇄 상태에서 밸브 본체(30)는 도4(a)에 도시된 위치에 있다. 밸브 본체(30)에 장착된 O-링(34)은 본체(24)의 내부(35)를 실링한다. 도4(b)에 도시된 것처럼, 밸브(15)가 개방되면 밸브 본체(30)는 밸브(15)의 본체(24) 내의 공간(36)으로 잡아당겨지고 정지된다. 밸브 본체(30)의 수직 이동은 밸브(15)의 구동 메커니즘(미도시)에 의해 축(32)을 이동시킴으로써 이루어진다. 도4(b)에 도시된 것처럼 밸브(15)가 개방될 때 밸브 본체(30)와 축(32)이 완전히 공간(36) 내에 위치하고, 개구부(23, 22) 사이에서 한정된 통로로부터 완전히 떨어지는 것이 중요하다. 그러므로 밸브 본체(30)가 도4(a)의 위치에 있을 때 밸브(15)를 통해 유동하는 세정가스를 방해하는 구조물이 없다.
다시 도3을 참조하면, 세정가스 유입구(12)로부터 유입하는 세정가스로서, 불화질소, 불화탄소와 불화염소, 불화질소 또는 불화탄소와의 혼합가스, 이들 가스와 산소 또는 불활성 가스와의 혼합가스 등의 플루오로 함유 가스가 이용될 수 있다. 구체적으로 NF3, ClF3, CF4, C2F6, C3F8와 산소와의 혼합가스, NF3와 질소와의 혼합가스, NF3와 희석가스와의 혼합가스가 이용될 수 있다. 희석가스로는 헬륨, 아르곤, 네온, 크세논 또는 크립톤이 이용될 수 있다.
플라즈마 CVD 작동
여전히 도3을 참조하여 본 실시예에 따른 플라즈마 CVD 장치의 작동을 설명한다. 전술한 것처럼, 작동은 대략 두 개의 순서로 나누어진다. (1) 반도체 웨이퍼(9)에 박막을 형성하는 순서 및 (2) 반응챔버의 내면을 세정하는 순서. 박막 형성 순서는 예로서 반도체 웨이퍼(9)에 산화규소를 형성하는 것을 참조하여 설명한다.
첫째, 반응챔버(2)의 내부가 진공펌프(미도시)에 의해 비어지고 유출구(20)를 통해 배기된다. 반응챔버 내의 압력은 1Torr 내지 8Torr의 범위에서 컨덕턴스 제어밸브(21)의 개구 각도에 의해 조절될 수 있다.
다음에, 가열 엘리먼트(26)에 의해 가열되는 지지체(3)는 온도 제어기(미도시)를 이용해 지정된 온도에서, 바람직하게는 300℃-420℃(572℉-788℉)에서 반도체 웨이퍼(9)를 제어한다.
계속하여, 질량 유량 제어기(미도시)에 의해 제어되는 반응가스인 SiH4, NH3와 N2는 반응가스 유입구(5)로부터 유입하여, 밸브(6)를 거쳐 가스 배출구(7, 32)를 통과한 후 샤워헤드(4)로 들어간다. 이 경우에 SiH4, NH3와N2가스의 원격 플라즈마 방전챔버(13)로의 유입은, 밸브(15)를 폐쇄함으로써 금지된다. 반응가스는 샤워헤드(4)의 하면에 형성된 미세 구멍으로부터 반도체 웨이퍼(9)로 균일하게 주입된다.
13.56㎒의 고주파 전력이나 13.56㎒와 430㎑의 혼합 전력이 고주파 진동기(8)에 의해 샤워헤드(4)에 인가된다. 그 결과 플라즈마 반응 영역이, 하나의 전극을 구성하는 샤워헤드(4)와 또 다른 전극을 구성하는 지지체(3) 사이의 공간에 형성된다. 그 영역 내의 반응가스의 분자들은 플라즈마 에너지에 의해 활성화되고, 질화규소가 반도체 기판(9)에 형성된다.
박막 형성 공정이 종료되면, 밸브(6)는 폐쇄되고, 동시에 밸브(18)가 개방된다. 공정 처리된 반도체 웨이퍼(9)는 개구부(19)를 통해 자동 이송 로봇(미도시)에 의해 인접한 이송챔버(미도시)로 옮겨진다. 반응챔버(2)가 비어지고 배기된 후에, 미처리된 반도체 웨이퍼는 이송챔버로부터 이송되고, 게이트 밸브(18)가 폐쇄되며, 상기 순서가 반복된다.
박막 형성 순서가 연속 이행되는 동안에, 바람직하지 않은 산물이 반응챔버(2)의 내벽과 지지체의 표면과 양측에 부착한다. 바람직하지 않은 산물은 반응챔버 내에서 점점 누적되어 떨어져 나와 부유하여, 입자 오염을 야기한다. 결과적으로 반응챔버(2)의 내부를 규칙적으로 (예를 들면, 다음 웨이퍼의 언로딩과 로딩 사이에서 매번 박막 형성 공정이 끝난 후) 세정할 필요가 있다. 다음에는 반응챔버(2)의 내벽에 부착한 질화규소를 제거하기 위한 세정순서를 설명한다.
세정 동작
세정가스로 이용되는 NF3와 아르곤의 혼합가스는 지정된 유량으로 제어되어 세정가스 유입구(12)로 유입되어 원격 플라즈마 방전챔버(13)로 들어간다. 플루오르 함유 가스를 위한 바람직한 유량은 약 0.5slm과 1.5slm 사이이며, 운반가스를 위한 바람직한 유량은 약 0.5slm과 4slm 사이이다. 바람직하게 불활성 운반가스는 플루오르 함유 가스의 유량보다 약 2배 내지 3배이다. 원격 플라즈마 방전챔버(13) 내에서 300㎑ 내지 500㎑의 고주파(RF) 출력이 1,000W 내지 5,000W의 전력으로 세정가스에 인가된다. 고주파 출력값은 반응챔버(2)의 내부에 부착한 불필요한 산물이 수용 속도로 제거되는 정도이다. 원격 플라즈마 방전챔버의 장기간 품질 유지를 실현하기 위해 그리고 플루오르 활성종 생성에서 고효율을 달성하기 위해, 고주파 출력 범위를 위한 바람직한 범위는 1,500W 내지 3,000W이며, 보다 바람직한 범위는 2,000W 내지 3,000W이다. 이 에너지를 가지고 세정가스가 소정 효율로 해리되고 활성화되어 플루오르 활성종을 생성한다.
생성된 플루오르 활성종은 파이프(14)와 밸브(15)를 통해 샤워헤드(4)로 들어간다. 샤워헤드(4)로부터 반응챔버(2)의 내부로 균일하게 주입되는 플루오르 활성종은 반응챔버(2)의 내벽과 다른 표면에 부착한 고형의 질화규소와 화학반응을 일으키고, 고형의 부착 물질을 기체 물질로 바꾼다. 그 결과, 반응챔버 내의 기체 분자의 수가 늘어나지만, 반응챔버 내의 압력은, 압력 게이지(28a)에 의해 측정되는 반응챔버(2) 내의 압력에 대응하여 컨덕턴스 제어밸브(21)의 개구 각도를 실시간으로 제어하는 조절기(28)에 의해 특정값에서 유지된다.
파이프(14)와 밸브(15)는 바람직하게 100℃ 내지 200℃(212℉ 내지 392℉)의 온도에서 가열되어, 내부를 유동하는 가스의 급속 퍼징을 용이하게 한다. 반도체 웨이퍼(9)에 질화규소를 형성하기 위해 NH3가 이용되고, 반응챔버(2)를 세정하기 위해 플루오르 활성종을 함유하는 세정가스가 이용될 때, NH3와 플루오르 활성종이 혼합되면 고형의 불화알루미늄이 생성되어, 파이프(14)의 내부가 오염된다. 파이프(14)의 내부로부터 이 가스를 신속히 제거하기 위해 파이프(14)와 밸브(15)가 적어도 120℃(248℉)로 가열되는 것이 보다 바람직하다. TEOS,[Si(OC2H5)4]가 반응가스로 이용될 때, 파이프(14)와 밸브(15)를 적어도 120℃(248℉)로 가열하면 TEOS가 유동할 때 액화하는 것을 방지한다. 밸브(15)와 파이프(14)의 온도는 반응챔버(2)로 유입될 반응가스의 유형에 따라 결정되지만, 밸브(15)의 내열 온도에 의해 제한된다. 예시된 실시예에서 온도의 상한은 약 200℃(392℉)이다.
일 실시예에서, 1slm의 NF3와 2slm의 Ar이 세정가스로 이용되었을 때 반응챔버 내의 압력은 1Torr와 1.5Torr 사이에서 설정되었다. 플루오르 활성종은 약 2,700W의 400㎑ 고주파 전력을 원격 플라즈마 방전챔버에 인가함으로써 생성되었으며, 반응챔버(2)의 내벽에 부착한 바람직하지 않은 질화규소는 2.0 미크론/분 이상의 속도로 제거되었으며, 보다 바람직하게는 2.5 미크론/분의 속도로 제거되었다.
또 다른 실시예에서 0.75slm의 NF3와 1.5slm의 Ar이 이용되었을 때 반응챔버의 압력은 약 1Torr에서 설정되었으며, 약 2,400W의 400㎑ 고주파 전력을 원격 플라즈마 방전챔버에 인가함으로써 플루오르 활성종을 생성하였으며, 그 결과 반응챔버(2)의 내벽에 부착한 바람직하지 않은 질화규소는 2.0 미크론/분 이상의 속도로 제거되었다.
또 다른 실시예에서 원재료로서 TEOS로 형성되어 반응챔버(2)에 부착한 바람직하지 않은 산화규소를 제거하기 위해, 1slm의 NF3와 3slm의 Ar이 세정가스로 이용되었다. 플루오르 활성종은 2,800W의 400㎑ 고주파 전력을 원격 플라즈마 방전챔버(13)에 인가함으로써 생성되었다. 플루오르 활성종을 포함하는 이러한 플라즈마 산물은 원격 플라즈마 챔버(13)로부터 반응챔버(2)로 도입되었다. 산화규소는 약 1.5 미크론/분의 속도로 제거되었다.
상기 설명은 세정 순서에 대한 설명을 포함한다.
실시예 4
주요 구조
이제 도5를 참조하면, 본 발명의 제4 실시예에 따라 화학증착(CVD) 장치(110)가 도시되어 있다. 전술한 실시예와 달리, 예시된 CVD 리액터(110)는 냉벽 반응챔버(112)를 포함한다. 예시된 실시예에서 증착 또는 반응챔버(112)는 복사 에너지의 특정 파장까지 투과시키는 석영을 포함하며, 후술하는 가열 시스템의 설명에 비추어 이해될 것이다.
원래는 한번에 하나의 단일 기판에 실리콘의 에피택셜 증착을 최적화하기 위해 디자인되었는데, 우수한 공정 제어가 다른 많은 재료의 열 및/또는 원격 플라즈마 CVD에도 유용한 것으로 밝혀졌다. 장치(110)의 기본 구성은 아리조나주 피닉스에 소재한 ASM America, Inc.가 트레이드 네임 Epsilon™으로 판매하고 있는 것을 구입할 수 있다.
석영 챔버(112) 벽에 의한 별다른 흡수없이 챔버(112)에 열 에너지를 제공하기 위해 복수의 복사 열원이 챔버(112) 외부에서 지지된다. 바람직한 실시예가 반도체 웨이퍼 공정을 위한 "냉벽" CVD와 관련하여 기술되었지만, 본 명세서에서 기재된 공정방법은 유도 또는 저항 가열 방식을 채용하는 다른 가열/냉각 시스템과 관련해서 이용될 수 있는 것이 이해될 것이다.
예시된 복사 열원은 세장관형 복사 가열 엘리먼트(113)의 상부 가열 어셈블리를 포함한다. 상부 가열 엘리먼트(113)는 바람직하게는 서로 이격 평행하고 있고, 또한 아래의 반응챔버(112)를 통과하는 반응 가스 유로와 실질적으로 평행하다. 하부 가열 어셈블리는 반응챔버(112) 밑에서 비슷한 세장관형 복사 가열 엘리먼트(114)를 포함하며, 바람직하게는 복사 가열 엘리먼트(113)에 대해 가로 방향이다. 바람직하게, 복사열의 일부는 상부 램프(113)의 위 및 하부 램프(114)의 아래에서 표면이 거친 반사기판(미도시)에 의해 챔버(112)로 확산 반사된다. 부가적으로 복수의 스폿 램프(115)가 기판 지지체(후술됨)의 저면으로 집중열을 제공하여, 반응챔버(112)의 저부를 통해 연장하는 냉벽 지지체에 의해 발생된 히트 싱크 효과를 중화시킨다.
세장관형 가열 엘리먼트(113, 114)는 각각 이오딘 같은 할로겐 가스를 함유하는 투명 석영 엔빌로우프를 갖는 고강도 텅스텐 필라멘트 램프가 바람직하다. 그러한 램프는 눈에 띄는 흡수없이 반응챔버(112)의 벽을 통해 전달된 전스펙트럼복사 가열 에너지를 생성한다. 반도체 공정장비 기술에 알려진 바와 같이, 여러 램프(113, 114, 115)의 전력은 온도 센서에 대응하여 독자적으로 또는 그룹 존으로 제어될 수 있다.
바람직하게 실리콘 웨이퍼(116)를 포함하는 기판이 반응챔버(112) 내에서 기판 지지체(118) 위에 지지되고 있는 것으로 도시되어 있다. 예시된 실시예의 기판이 단결정 실리콘 웨이퍼이지만, 용어 "기판"은 넓게는 층이 증착될 수 있는 모든 가공물을 가리킨다는 것이 이해된다는 것에 유의한다. 더욱이, 오염의 세척 및 방지는 흔히 다른 기판에 층을 증착하는데, 예를 들면 유리나 다른 기판 광학적 박막을 증착하는데 필요하다.
예시된 지지체(118)는 웨이퍼(116)가 안착하는 기판 홀더(20)와 지지 스파이더(122)를 포함한다. 스파이더(122)는 챔버의 하부벽에 매달린 튜브(126)를 통해 하향 연장하는 축(124)에 장착된다. 바람직하게 튜브(126)는 공정 중에 유동할 수 있는 퍼지가스 또는 스위프가스의 소스와 연통하여 공정가스가 챔버(112)의 하부 구간으로 빠져나가지 못하게 한다.
복수의 온도 센서는 웨이퍼(116)와 인접 위치한다. 온도 센서는 광 파이로미터나 열전쌍 등의 다양한 형태를 취할 수 있다. 온도 센서의 수와 위치는 온도 균일성을 증진하기 위해 선정되며, 후술하는 바람직한 온도 제어기의 설명에 비추어 이해될 것이다. 그러나 바람직하게 온도 센서는 직, 간접으로 웨이퍼에 인접한 위치의 온도를 감지한다.
예시된 실시예에서, 온도 센서는 어떤 식으로든 웨이퍼 홀더(120) 밑에서 현가 지지되는 제1 또는 중앙 열전쌍(128)을 포함한다. 예시된 중앙 열전쌍(128)은 웨이퍼 홀더(120)에 인접하게 스파이더(122)를 통과한다. 장치(110)는 또한 웨이퍼(116)에 인접해 있는 복수의 제2 또는 주변 열전쌍들을 포함하는데, 선단 또는 전방 열전쌍(129), 후단 또는 후방 열전쌍(130)과 측방 열전쌍(미도시)이 포함된다. 주변 열전쌍 각각은 기판 홀더(120)와 웨이퍼(116)를 둘러싸는 슬립 링(132) 내에 수용된다. 중앙 열전쌍과 주변 열전쌍은 각각, 열전쌍의 독출값에 대응하여 여러 가열 엘리먼트(113, 114, 115)의 전력을 설정하는 온도 제어기에 연결된다.
주변 열전쌍을 수용하는 외에도, 슬립 링(132)은 고온 공정 중에 복사열을 흡수 및 방출하여, 웨이퍼 가장자리 근처의 영역에서 체적에 대한 표면적의 비가 더 커지기 때문에 생기는 것으로 알려져 있는 현상인, 웨이퍼 가장자리에서의 열 손실이나 흡수가 더 커지는 경향에 대해 보상한다. 가장자리 손실을 최소화함으로써, 슬립 링(132)은 웨이퍼(116)에 걸쳐 복사 온도 불균일의 위험을 줄일 수 있다. 슬립 링(132)은 적당한 수단에 의해 현가 지지될 수 있다. 예를 들면, 예시된 슬립 링(132)은 전방 챔버 디바이더(36)와 후방 챔버 디바이더(38)에 매달린 엘보우(134)에 안착한다. 디바이더(36, 38)는 바람직하게 석영으로 형성된다. 일부 구성에서 후방 디바이더(138)는 생략될 수 있다.
예시된 반응챔버(112)는 CVD에 의한 증착을 위해 반응 및 운반가스의 주입을 위한 유입구(140)를 포함하며, 웨이퍼(116)는 또 유입구(140)를 통해 수용될 수 있다. 유출구(142)는 챔버(112)의 반대쪽에 있으며, 웨이퍼 지지체(118)는 유입구(140)와 유출구(142) 사이에 위치하게 된다.
유입 요소(150)는 반응챔버(112)에 끼워져 유입구(140)를 둘러싸도록 조정되고, 수평 세장 슬롯(152)을 포함하며, 이 슬롯(152)을 통해 웨이퍼(116)를 삽입시킬 수 있다. 전체적으로 수직인 유입구(154)는 원격 소스로부터 가스를 수령하고, 그 가스가 슬롯(152)과 유입구(140)와 연통한다. 유입구(154)는 본 명세서에서 참조하고 있는, 호킨스 등(Hawkins et al.)의 미국 특허 제5,221,556호에 개시된 바와 같은, 아니면 1996년 4월 25일자 출원된 미국특허출원 제08/637,616호의 도21 내지 도26과 관련하여 개시된 바와 같은, 가스 인젝터를 포함할 수 있다. 그러한 인젝터는 매엽식 웨이퍼 리액터를 위한 가스 유동의 균일성을 극대화하도록 설계된다.
유출 요소(156)는 비슷하게 공정챔버(112)에 장착되어, 배기 개구부(158)가 유출구(142)와 정렬하며 배기 도관(159)으로 이어진다. 다음에 도관(159)은 챔버(112)를 통해 공정가스를 인출하기 위한 적절한 진공수단(미도시)과 연통할 수 있다. 바람직한 실시예에서, 공정가스는 반응챔버(112)와 하류 스크러버(미도시)를 통해 인출된다. 바람직하게 펌프나 팬이 포함되어 챔버(112)를 통해 공정가스를 인출하는 것을 지원하고, 저압 처리를 위해 챔버를 비운다.
웨이퍼는 바람직하게 주변 환경으로부터 이격된 핸들링 챔버(미도시)로부터 픽업장치에 의해 슬롯(152)을 통해 전달된다. 핸들링 챔버와 공정챔버(112)는 바람직하게, 본 명세서에서 개시 내용을 참조하는 미국특허 제4,828,224호에 개시된 유형의 게이트 밸브(미도시)에 의해 이격해 있다.
원격 플라즈마 방전챔버
바람직한 장치(110)는 챔버(112)로부터 상류에 위치한 여기종(excited species)의 소스를 더 포함한다. 바람직한 실시예의 여기종 소스는 원격 플라즈마 방전챔버(13)에 연결된 전력 발생기를 포함한다. 원격 플라즈마 방전챔버(13)는 밸브(15)를 갖는 파이프(14)에 의해 증착챔버(112)에 연결된다. 파이프(14)의 일단은 세정가스 유입구(12)를 구성하여 세정가스가 원격 플라즈마 방전챔버(13)로 유입되게 한다. 파이프(14)의 타단은 세정가스 유출구(16)를 구성하여 세정가스가, 반응챔버(112)의 유입구(140)와 유출구(142) 사이에 한정된 수평 유로로 들어가게 한다.
파이프(14)의 유입단(12)은 다수의 가스 소스에 연결된 것으로 도시되어 있다. 특히, 세정가스(163)의 소스는, 세정가스를 원격 플라즈마 방전챔버(13)에 도입하기 위하여 파이프의 유입단(12)과 결합된다. 운반가스(164)의 소스는 또한 가스라인(12)과 결합하는 것이 바람직하다. 기술분야에서 알려진 대로, 가스소스(163, 164)는 반응종의 형태와 휘발성에 따라 가스 탱크, 버블러 등을 포함할 수 있다. 각각의 가스라인에는 도시된 대로 별도의 질량 유량 제어기(MFC)와 밸브가 마련되어, 원격 플라즈마 방전챔버로 도입되어 반응챔버(112)로 도입되는 캐리어와 반응종의 상대적 양을 선택한다.
하나 이상의 브랜치 라인(165)(1개 도시)은 추가 반응종을 위해 제공될 수 있다. 유리하게, 브랜치 라인에 연결된 소스가스는 챔버 내에서 플라즈마 지원 증착에 유용한 소스에 연결될 수 있다. 그러므로 원격 플라즈마 방전챔버(13)는 세정 뿐만 아니라 플라즈마 CVD를 위해 활성화 반응종을 제공하는데 이용될 수 있다.대안으로, 증착 반응종을 위해 별도의 원격 플라즈마 소스가 마련될 수 있다.
챔버(13), 파이프(14)와 밸브(15)는 도1 내지 도4의 실시예와 관련하여 기재한 대로이다. 전술한 것처럼 밸브(15)는 선택에 따라 생략될 수 있고, 공정의 증착 단계 동안에 (해리 에너지를 인가하지 않고) 원격 플라즈마 방전챔버(13)를 통해 운반가스나 불활성가스로 대체될 수 있다.
CVD 작동
도5의 장치(110)는 CVD에 의한 다양한 막을 증착시키는데 이용될 수 있는데, 에피택셜 실리콘, 폴리실리콘, 산화규소 및 질화규소를 포함한다. 유리하게, 원격 플라즈마 방전챔버(13)는 CVD에서의 반응을 지원하는 활성화된 반응종을 제공하여, 이 증착을 위한 열적 필요성을 줄인다.
예시적인 질화규소 증착에서는, 약 1.5slm의 암모니아(NH3)와 15sccm의 실란(SiH4)이 도입된다. 질소는 같은 유량으로 계속 유동하고, 온도와 압력은 약 780℃와 50Torr에서 유지된다. 암모니아와 실란 유동은 약 90초 동안 지속되어, 기판 표면에서 반응하여 약 3㎚의 두께를 갖는 질화규소의 층을 증착한다(430). 언급한 것처럼 하나 이상의 반응 물질이 원격 플라즈마 방전챔버(13)를 통해 활성화되어, 동일 증착률에 대하여 온도를 낮출 수 있다. 이 경우에 반응챔버 압력은 바람직하게 줄어들어 원격 플라즈마 방전챔버 내의 플라즈마 점화를 원활하게 한다.
예시적인 폴리실리콘 증착에서, 350sccm 실란이 도입되는 동안에 N2운반가스의 유량이 약 15slm에서 유지된다. 디실란을 채용하면 유리하게 증착률을 개선할 수 있다. 압력은 약 50Torr에서 계속 유지되고, 온도는 약 680℃에서 지속된다. 약 120초 내에, 약 150㎚의 폴리실리콘 전극층이 증착된다(637). 이 방법으로 형성된 폴리실리콘은, (증착 동안에) 동일 장소 도핑을 고려할 수 있지만, 증착(637) 후에 적절한 전도성을 위해 첨가될 수 있는 것이 이해된다. 동일 장소 도핑을 위해, 포스핀, 아르신이나 디보란 등의 보통의 도핑 소스가 실란가스에 첨가될 수 있다. 또 다른 구성에서, 챔버는 H2/SiH4폴리실리콘 공정을 위해 약 대기압으로 역충전(backfilled)될 수 있다. 언급한 것처럼, 하나 이상의 반응물질이 원격 플라즈마 방전챔버(13)를 통해 활성화되어, 동일 증착률에 대해 온도를 낮출 수 있다. 이 경우에 반응챔버 압력은 바람직하게 낮아져서 원격 플라즈마 방전챔버 내의 플라즈마 점화를 용이하게 한다.
또 다른 구성에서, 폴리실리콘층은, 게이트/유전체 인터페이스에서 전기적 일 함수(workfunction)를 낮추기 위해 게르마늄으로 동일 장소에서 첨가된다. 예를 들면, 약 100sccm 내지 1,000sccm의 게르마인(H2에서 1.5%) 유동이 실란 유동에 추가될 수 있다. 이 경우에, 증착 온도는 바람직하게 약 550℃와 650℃ 사이에서 유지되며, 보다 바람직하게는 약 600℃ ±15℃이다. 결과로 생긴 폴리-SiGe 층에서의 게르마늄 함유량은 약 10% 내지 60%이다. 언급한 것처럼, 하나 이상의 반응물질이 원격 플라즈마 방전챔버(13)를 통해 활성화되어, 동일 증착률에 대해 온도를 낮출 수 있다. 이 경우에 반응챔버 압력은 바람직하게 낮아져서 원격 플라즈마방전챔버 내의 플라즈마 점화를 용이하게 한다.
챔버 세정 동작
세정되는 재료, 그리고 챔버 내의 재료에 따라, 실시예와 관련하여 전술한 것처럼 원격 플라즈마 방전챔버(13)를 통해 플루오르 활성종이 제공될 수 있다. 특정 증착을 위해, 당해기술의 숙련자는 염소 활성종 및/또는 다른 활성종이 석영 챔버(112)의 벽에 과도한 손상을 주지 않으면서 증착된 재료를 더 효과적으로 세정할 수도 있다는 것을 이해할 것이다. 예를 들면, 실리콘 증착 후의 적절한 세정가스는 원격 플라즈마 방전챔버를 통해 제공된 HCl이나 NF3/Cl2를 포함한다. 산화규소나 질화규소 증착 후의 세정가스는 이전의 실시예와 관련하여 기재된 대로이며, 바람직하게 플루오르 세정가스를 포함한다.
20℃ 내지 800℃, 바람직하게는 500℃ 내지 800℃ 범위의 온도에서 그리고 원격 플라즈마 발생기 작업 범위(일반적으로 본 공정을 위해서는 0.5 내지 5Torr)와 조화할 수 있는 압력에서 NF3와 Cl2의 종 모두를 이용하는 공정은, 실리콘, 질화규소, 산질화규소 및/또는 이산화규소로 형성되는 증착층을 제거하기 위해 실행될 수 있다. NF3와 Cl2는 원격 플라즈마 방전챔버(13)를 통해 유동할 때 약 1,000W와 5,000W 사이, 바람직하게는 2,000W와 3,000W 사이의 300㎑ 내지 500㎑ 고주파 에너지를 인가함으로써 해리된다. 일반적으로 NF3, Cl2와 N2는 원격 플라즈마 방전챔버(13)를 통해 유동한다. N2가스의 유동은 에칭 속도를 증가시키고, 가스의전체 속도를 증가시킨다. NF3:Cl2유동비와 온도는 질화규소 에칭 대 이산화규소의 선택도를 궁극적으로 무한대로 증가시키기 위해 조절될 수 있고, 이에 따라 이산화질소는 에칭에 의해 영향받지 않는다. 보다 상세한 내용은 본 명세서에서 참조하고 있는, 1989년 7월, J. Electrochemical Society 136권 7호, 2032-2034 페이지에 실린 수토 등(Suto et al.)의 "마이크로파 방전에 의해 생성된 불소원자와 염소원자를 채용한 SiO2에 대한 Si3N4의 고선택 에칭 (Highly selective etching of Si3N4to SiO2employing fluorine and chlorine atoms generated by microwave discharge)"과, Electrochemical Society Proceedings, 95-5권 283-289 페이지에 실린 스타파 등(Staffa et al.)의 "상승 온도에서 SiO2에 대한 Si3N4의 선택적 원격 플라즈마 에칭 (Selective remote plasma ethcing of Si4N4over SiO2at elevated temperature)"에 개시되어 있다.
당해기술의 숙련자는 본 발명의 정신을 벗어나지 않고서 추가로 다양하고 수많은 변형이 가능하다는 것을 이해할 것이다. 그러므로 본 발명의 형태는 단지 예시를 위한 것이며 본 발명의 범위를 제한하려는 의도는 없다는 것을 확실히 이해한다.
본 발명에 따르면, 잘 손상되지 않는 재료를 포함하는 원격 플라즈마 방전챔버가 제공되고, 동시에 이온 충격없는 반응챔버의 세정이 제공된다.
또한, 원격 플라즈마 방전챔버에서의 플라즈마 점화가 쉽고 확실한 CVD 장치가 제공된다.
활성화된 세정가스의 공급이 중지된 후에 파이프 내의 잔류가스를 신속히 배기시키는 CVD 장치가 제공된다.
또한, 원격 플라즈마 방전챔버에서 활성화된 플루오르종의 양을 유지함으로써 세정가스를 반응챔버로 공급하는 플라즈마 CVD 장치가 제공된다.

Claims (40)

  1. 화학증착(CVD) 장치에 있어서,
    증착 반응챔버,
    상기 반응챔버로부터 원격 위치하는 플라즈마 방전챔버, 및
    상기 반응챔버와 상기 원격 플라즈마 방전챔버를 연결시키는 원격 플라즈마 파이프를 포함하며,
    상기 원격 플라즈마 방전챔버에 연결되는 에너지는 상기 플라즈마 방전챔버 내의 세정가스를 활성화하고,
    활성화된 세정가스는 상기 파이프를 통해 상기 반응챔버의 내부로 들어가, 막 형성의 결과로 상기 반응챔버의 내부에 부착한 고형 물질을 기체 물질로 변화시켜 상기 반응챔버의 내부를 세정하고,
    상기 파이프의 내면은 활성화된 세정가스 종에 의해 부식되지 않는 금속을 포함하는 것을 특징으로 하는 CVD.
  2. 제1항에 있어서,
    상기 활성화된 세정가스는 플루오르 활성종을 포함하는 것을 특징으로 하는 CVD.
  3. 제2항에 있어서,
    상기 파이프의 상기 내면은 플루오르 부동화 금속을 포함하는 것을 특징으로 하는 CVD.
  4. 제3항에 있어서,
    상기 파이프는 플루오르 부동화 스텐레스강, 알루미늄 및 알루미늄 합금으로 이루어진 그룹에서 선택되는 금속으로 만들어지는 것을 특징으로 하는 CVD.
  5. 제1항에 있어서,
    상기 파이프는 상기 원격 플라즈마 방전챔버와 상기 반응챔버 사이에 위치한 관통 유동형 밸브를 포함하는 것을 특징으로 하는 CVD.
  6. 제5항에 있어서,
    상기 활성화된 세정가스는 플루오르 활성종을 포함하며, 상기 밸브의 내면은 플루오르 부동화 알루미늄으로 만들어지는 것을 특징으로 하는 CVD.
  7. 제5항에 있어서,
    상기 밸브는 완전 개방되었을 때 상기 밸브에 대한 압력 강하를 약 0.25Torr 미만으로 한정하는 개구를 갖는 것을 특징으로 하는 CVD.
  8. 제7항에 있어서,
    완전 개방되었을 때 상기 밸브에 대한 압력 강하는 약 0.1Torr 미만인 것을 특징으로 하는 CVD.
  9. 제7항에 있어서,
    상기 밸브의 개구는 완전 개방되었을 때 상기 파이프의 내면과 폭이 실질적으로 동일하고, 상기 밸브는 완전 개방되었을 때 상기 파이프의 내면에 대해 돌출부를 갖지 않는 것을 특징으로 하는 CVD.
  10. 제5항에 있어서,
    상기 파이프와 상기 밸브는 상기 세정가스의 증착을 방지하는데 효과적인 온도로 가열되는 것을 특징으로 하는 CVD.
  11. 제1항에 있어서,
    상기 반응챔버 내에 마련되어 처리될 물체를 지지하기 위해 구성된 지지체, 및 처리될 물체에 반응가스를 공급하여 처리될 물체에 막을 형성하기 위해 상기 반응챔버 내에서 상기 지지체와 마주하는 위치에 마련되는 가스 방출판을 더 포함하며,
    상기 활성화된 세정가스는 상기 파이프를 통해, 상기 가스 방출판에 마련된 구멍으로부터 상기 반응챔버로 공급되는 것을 특징으로 하는 CVD.
  12. 제11항에 있어서,
    상기 가스 방출판은 상기 반응챔버 내에서 플라즈마 CVD를 위한 동일 장소 플라즈마 전극을 형성하기 위한 전원에 연결되는 것을 특징으로 하는 CVD.
  13. 제11항에 있어서,
    반응가스 소스와 연통하는 가스 도관을 더 포함하며,
    상기 가스 도관의 일단은 상기 밸브와 상기 가스 반응챔버 사이의 소정 위치에서 상기 파이프에 연결되는 것을 특징으로 하는 CVD.
  14. 제1항에 있어서,
    상기 파이프는 상기 원격 플라즈마 방전챔버와 상기 반응챔버 사이에서 직선인 것을 특징으로 하는 CVD.
  15. 제1항에 있어서,
    상기 세정가스를 활성화시키는 에너지는 약 300㎑와 500㎑ 사이의 주파수를 갖는 것을 특징으로 하는 CVD.
  16. 제14항에 있어서,
    상기 세정가스를 활성화시키는 에너지는 약 1,500W와 3,000W 사이의 주파수를 갖는 것을 특징으로 하는 CVD.
  17. 제1항에 있어서,
    상기 반응챔버 내에서 재료가 증착되는 기판 표면에 걸친 수평 유동을 한정하는 반응가스 유입구와 반응가스 유출구를 더 포함하는 것을 특징으로 하는 CVD.
  18. 제17항에 있어서,
    상기 파이프는 상기 유입구의 하류에서, 그리고 상기 챔버 내의 기판을 지지하기 위해 구성된 기판 지지체의 상류에서 상기 반응챔버로 개방되는 것을 특징으로 하는 CVD.
  19. 제17항에 있어서,
    상기 반응챔버는 석영벽과 복사 가열 엘리먼트를 포함하는 것을 특징으로 하는 CVD.
  20. 플라즈마 화학증착(CVD) 리액터에 있어서,
    반응챔버,
    파이프에 의해 상기 반응챔버에 연결되는 원격 플라즈마 방전챔버,
    상기 원격 플라즈마 방전챔버의 상류에서 상기 파이프와 유체 연통하는 세정가스 소스, 및
    상기 원격 플라즈마 방전챔버 내의 세정가스를 활성화하기 위해 약 300㎑와500㎑ 사이의 주파수를 갖는 에너지를 전달하는 전원을 포함하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  21. 제20항에 있어서,
    상기 원격 플라즈마 방전챔버는 금속으로 형성되는 것을 특징으로 하는 플라즈마 CVD 리액터.
  22. 제21항에 있어서,
    상기 원격 플라즈마 방전챔버는 양극 처리된 알루미늄을 포함하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  23. 제20항에 있어서,
    상기 세정가스는 플루오르 함유 가스를 포함하며, 상기 파이프는 플루오르 활성종을 상기 반응챔버에 공급하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  24. 제23항에 있어서,
    상기 파이프는 플루오르 활성종에 의해 내식성이 있는 플루오르 부동화 금속으로 형성된 내면을 포함하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  25. 제23항에 있어서,
    상기 파이프는 약 100℃와 200℃ 사이로 가열되는 것을 특징으로 하는 플라즈마 CVD 리액터.
  26. 제20항에 있어서,
    상기 원격 플라즈마 방전챔버와 유체 연통하는 CVD 반응가스 소스를 더 포함하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  27. 제20항에 있어서,
    상기 원격 플라즈마 방전챔버와 상기 반응챔버 사이의 상기 파이프에 관통 유동형 밸브를 더 포함하며,
    상기 밸브는 완전 개방되었을 때 상기 파이프의 내면과 폭이 실질적으로 동일한 개구를 한정하고, 상기 밸브는 완전 개방되었을 때 파이프의 내면에 대해 돌출부를 갖지 않는 것을 특징으로 하는 플라즈마 CVD 리액터.
  28. 제27항에 있어서,
    상기 밸브가 완전 개방되었을 때 유입 압력의 약 1% 미만의 압력 강하가 밸브에 대해 형성되고, 플라즈마가 상기 원격 플라즈마 방전챔버 내에서 점화되는 것을 특징으로 하는 플라즈마 CVD 리액터.
  29. 제20항에 있어서,
    상기 세정가스는 플루오르 함유 가스를 포함하며, 상기 전원은 상기 원격 플라즈마 방전챔버 내에 플루오르 활성종을 생성하기 위해 약 1,000W와 5,000W 사이의 전력을 갖는 에너지를 전달하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  30. 제29항에 있어서,
    상기 전원은 원격 플라즈마 방전챔버 내에 플루오르 활성종을 생성하기 위해 약 2,000W와 3,000W 사이의 전력을 갖는 에너지를 전달하는 것을 특징으로 하는 플라즈마 CVD 리액터.
  31. 제20항에 있어서,
    반응챔버 내에서 약 1Torr와 8Torr 사이의 압력을 유지하도록 구성되는 것을 특징으로 하는 플라즈마 CVD 리액터.
  32. 제20항에 있어서,
    상기 전원이 약 3,000W 미만의 전력을 갖는 에너지를 전달할 때 약 2.0 미크론/분 이상의 속도로 상기 반응챔버의 표면으로부터 질화규소 증착물을 제거할 있는 것을 특징으로 하는 플라즈마 CVD 리액터.
  33. 원격 플라즈마 방전챔버를 통해 제공되는 세정가스로, 화학증착(CVD) 반응챔버를 세정하는 방법에 있어서,
    약 3,000W 미만의 전력을 갖는 에너지를 인가하여 원격 플라즈마 방전챔버 내의 세정가스를 해리하는 단계;
    상기 원격 플라즈마 방전챔버로부터 활성종을 파이프를 통해 상기 반응챔버로 공급하는 단계, 및
    상기 반응챔버의 벽에 CVD 반응에 의해 부착된 증착물을 약 2.0 미크론/분 이상의 속도로 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  34. 제33항에 있어서,
    상기 반응챔버의 상기 증착물은 질화규소를 포함하는 것을 특징으로 하는 방법.
  35. 제33항에 있어서,
    상기 세정가스는 플루오르 함유 가스를 포함하고, 상기 활성종은 플루오르 활성종을 포함하는 것을 특징으로 하는 방법.
  36. 제33항에 있어서,
    상기 인가된 에너지는 약 300㎑와 500㎑ 사이의 주파수를 갖는 것을 특징으로 하는 방법.
  37. 제33항에 있어서,
    상기 활성종을 공급하는 단계는, 약 0.5slm과 1.5slm 사이의 속도로 상기 원격 플라즈마 방전챔버를 통해 NF3를 유동시키는 단계를 포함하는 것을 특징으로 하는 방법.
  38. 제33항에 있어서,
    CVD 반응을 실행한 후에 그리고 활성종을 공급하기 이전에 상기 파이프 상의 밸브를 개방하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  39. 제38항에 있어서,
    상기 밸브를 개방하는 단계는, 실링 엘리먼트를 유로로부터 완전히 후퇴시켜 실질적으로 상기 파이프의 내면의 폭 만큼 넓은 개구를 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  40. 제38항에 있어서,
    부착된 증착물을 제거한 후에 상기 밸브를 폐쇄하는 단계를 더 포함하는 것을 특징으로 하는 장치.
KR1020010002690A 2000-01-18 2001-01-17 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 KR100767762B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17659200P 2000-01-18 2000-01-18
US60/176,592 2000-01-18

Publications (2)

Publication Number Publication Date
KR20010076318A true KR20010076318A (ko) 2001-08-11
KR100767762B1 KR100767762B1 (ko) 2007-10-17

Family

ID=22644991

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010002690A KR100767762B1 (ko) 2000-01-18 2001-01-17 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치

Country Status (4)

Country Link
US (4) US6736147B2 (ko)
EP (1) EP1118692A1 (ko)
JP (2) JP3902408B2 (ko)
KR (1) KR100767762B1 (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100724266B1 (ko) * 2005-09-26 2007-05-31 동부일렉트로닉스 주식회사 대기압 플라즈마를 이용한 실리콘 웨이퍼 표면 세정 방법및 장치
KR100837208B1 (ko) * 2001-12-31 2008-06-11 엘지디스플레이 주식회사 박막증착장비용 펌프의 배관 클리닝시의 운전방법 및 그보조장치
KR101015695B1 (ko) * 2002-10-18 2011-02-22 더 비오씨 그룹 인코포레이티드 반도체 챔버용 불소의 열적 활성화 방법
KR101037916B1 (ko) * 2008-07-18 2011-05-30 최영이 강화유리문의 고정프레임 결합구조
KR20120051046A (ko) * 2009-08-24 2012-05-21 썽뜨르 나쇼날르 드 라 르쉐르쉐 씨엉띠삐끄 실리콘 기판의 표면 세정 방법
KR101493606B1 (ko) * 2013-08-27 2015-02-13 김정대 기체 세정 장치
KR20160066460A (ko) * 2014-12-02 2016-06-10 천인관 챔버 클리닝이 가능한 코팅 장치
KR102100770B1 (ko) * 2019-01-30 2020-04-14 김경민 밸브 장치, 이를 포함하는 기판 처리 설비 및 처리 방법
KR20210047375A (ko) * 2018-09-26 2021-04-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버용 열 전도성 스페이서
KR20220106463A (ko) 2021-01-22 2022-07-29 김경민 밸브 장치 및 그 제어 방법

Families Citing this family (615)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP4730572B2 (ja) * 2000-08-21 2011-07-20 株式会社アルバック プラズマ成膜装置及びそのクリーニング方法
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US6996548B2 (en) * 2001-05-11 2006-02-07 Hemisphere Ii Investment Lp Method and apparatus for providing a reward for the use of a processor in a parallel processing environment
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6955707B2 (en) * 2002-06-10 2005-10-18 The Boc Group, Inc. Method of recycling fluorine using an adsorption purification process
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
AU2003261791A1 (en) * 2002-08-30 2004-03-19 Tokyo Electron Limited Substrate processor and method of cleaning the same
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
RU2215061C1 (ru) * 2002-09-30 2003-10-27 Институт прикладной физики РАН Высокоскоростной способ осаждения алмазных пленок из газовой фазы в плазме свч-разряда и плазменный реактор для его реализации
US7806126B1 (en) * 2002-09-30 2010-10-05 Lam Research Corporation Substrate proximity drying using in-situ local heating of substrate and substrate carrier point of contact, and methods, apparatus, and systems for implementing the same
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040135828A1 (en) * 2003-01-15 2004-07-15 Schmitt Stephen E. Printer and method for printing an item with a high durability and/or resolution image
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP4312063B2 (ja) 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
CN100477107C (zh) * 2004-01-28 2009-04-08 东京毅力科创株式会社 基板处理装置的处理室净化方法、基板处理装置和基板处理方法
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
GB0415560D0 (en) * 2004-07-12 2004-08-11 Boc Group Plc Pump cleaning
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7926440B1 (en) * 2004-11-27 2011-04-19 Etamota Corporation Nanostructure synthesis apparatus and method
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4651406B2 (ja) * 2005-02-16 2011-03-16 キヤノンアネルバ株式会社 プラズマによるガス分解装置を用いた表面処理方法
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
KR100725721B1 (ko) 2005-05-10 2007-06-08 피에스케이 주식회사 다운스트림 방식의 플라즈마 처리를 위한 방법
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
KR100706792B1 (ko) * 2005-08-01 2007-04-12 삼성전자주식회사 펌프 유닛을 가지는 반도체 소자 제조 장치 및 상기 펌프유닛을 세정하는 방법
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
RU2008108012A (ru) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ применения nf3 для удаления поверхностных отложений
RU2008108013A (ru) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ удаления поверхностных отложений и пассивирования внутренних поверхностей реактора химического осаждения из паровой фазы
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
JP2008218877A (ja) * 2007-03-07 2008-09-18 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
EP2157601A4 (en) * 2007-05-31 2011-05-18 Ulvac Inc DRY CLEANING METHOD OF PLASMA PROCESSING APPARATUS
KR100870567B1 (ko) * 2007-06-27 2008-11-27 삼성전자주식회사 플라즈마를 이용한 이온 도핑 방법 및 플라즈마 이온 도핑장치
JP2010536170A (ja) * 2007-08-08 2010-11-25 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ 半導体構造および製造方法
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP2009084625A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 原料ガスの供給システム及び成膜装置
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
JP4696135B2 (ja) * 2008-02-04 2011-06-08 アプライド マテリアルズ インコーポレイテッド ゲートバルブ及び成膜システム
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
WO2009126827A2 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
GB0813241D0 (en) 2008-07-18 2008-08-27 Mcp Tooling Technologies Ltd Manufacturing apparatus and method
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP2010098158A (ja) * 2008-10-17 2010-04-30 Seiko Epson Corp プラズマcvd装置用サセプタ及びその製造方法、並びに、プラズマcvd装置、並びにその保守方法、並びに半導体装置の製造方法
CN105755451A (zh) * 2008-10-24 2016-07-13 应用材料公司 多处气体馈送装置与方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
CN102395704B (zh) * 2009-02-13 2014-02-19 盖利姆企业私人有限公司 等离子体沉积
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011096937A (ja) * 2009-10-30 2011-05-12 Ulvac Japan Ltd 真空励起管の洗浄方法及び真空処理装置
US8338317B2 (en) * 2011-04-06 2012-12-25 Infineon Technologies Ag Method for processing a semiconductor wafer or die, and particle deposition device
US8319176B2 (en) * 2010-04-01 2012-11-27 Electro Scientific Industries, Inc. Sample chamber for laser ablation inductively coupled plasma mass spectroscopy
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
GB2480873B (en) * 2010-06-04 2014-06-11 Plastic Logic Ltd Reducing defects in electronic apparatus
JP2012015374A (ja) * 2010-07-01 2012-01-19 Toshiba Corp マスフローコントローラシステム、プラズマ処理装置、流量制御方法、及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
JP5710433B2 (ja) * 2011-09-13 2015-04-30 株式会社東芝 成膜装置のクリーニング方法および成膜装置
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR102375158B1 (ko) * 2014-12-26 2022-03-17 삼성디스플레이 주식회사 증착 장치 및 그 구동 방법
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016157312A1 (ja) * 2015-03-27 2016-10-06 堺ディスプレイプロダクト株式会社 成膜装置及び成膜装置のクリーニング方法
EP3095893A1 (en) * 2015-05-22 2016-11-23 Solvay SA A process for etching and chamber cleaning and a gas therefor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP2017028012A (ja) * 2015-07-17 2017-02-02 ラピスセミコンダクタ株式会社 半導体製造装置及び半導体製造方法
WO2017014791A1 (en) * 2015-07-23 2017-01-26 Renmatix, Inc. Method and apparatus for removing a fouling substance from a pressurized vessel
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN104962880B (zh) 2015-07-31 2017-12-01 合肥京东方光电科技有限公司 一种气相沉积设备
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102096577B1 (ko) 2016-12-29 2020-04-02 한화솔루션 주식회사 폴리실리콘 제조 장치
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108878241B (zh) * 2017-05-10 2021-03-02 北京北方华创微电子装备有限公司 半导体设备和半导体设备的反应腔室的清理方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN109868458B (zh) * 2017-12-05 2021-12-17 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199648A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
KR102088596B1 (ko) * 2018-07-09 2020-06-01 램 리써치 코포레이션 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102229688B1 (ko) * 2019-02-13 2021-03-18 프리시스 주식회사 밸브모듈 및 이를 포함하는 기판처리장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112820619A (zh) * 2021-03-06 2021-05-18 东莞市峰谷纳米科技有限公司 一种等离子表面清洁装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN115896744A (zh) * 2021-08-17 2023-04-04 北京北方华创微电子装备有限公司 半导体工艺设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114574837B (zh) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 等离子体工艺设备中用于解决寄生等离子体的结构及方法
WO2023229953A1 (en) * 2022-05-23 2023-11-30 Lam Research Corporation In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法
CN115491657B (zh) * 2022-09-21 2023-09-19 拓荆科技股份有限公司 清洁方法、存储介质、驱动电路及半导体加工设备
CN116251803B (zh) * 2023-04-12 2023-09-22 东莞市晟鼎精密仪器有限公司 基于微波等离子干法清洗氮化硅涂层的石墨舟清洗设备

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656707A (en) * 1970-04-16 1972-04-18 Marotta Scientific Controls Poppet valve assembly with straight-through flow
US3696831A (en) * 1971-11-11 1972-10-10 John H Fowler Valve
FR2253972B1 (ko) * 1973-12-11 1978-02-24 Elf Aquitaine
US3963214A (en) * 1974-11-26 1976-06-15 Mueller Co. Resilient seated gate valve with split body
US4162058A (en) * 1977-05-19 1979-07-24 Mueller Co. Resilient seated gate valve with improved seat arrangement
US4281819A (en) * 1978-03-23 1981-08-04 Linder Morris B Balanced stem gate valve
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4682757A (en) * 1986-07-18 1987-07-28 Joy Manufacturing Company Secondary backseat for gate valve
US4859303A (en) * 1987-10-09 1989-08-22 Northern Telecom Limited Method and apparatus for removing coating from substrate
US5031571A (en) * 1988-02-01 1991-07-16 Mitsui Toatsu Chemicals, Inc. Apparatus for forming a thin film on a substrate
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
DE69125651T2 (de) 1990-06-07 1997-09-04 Applied Materials Inc Korrosionsbeständiger Schutzüberzug auf Aluminiumsubstrat oder Oberfläche und Verfahren zur Herstellung derselben
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
FR2713667B1 (fr) * 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat non métallique.
JP3165322B2 (ja) * 1994-03-28 2001-05-14 東京エレクトロン株式会社 減圧容器
JP3122311B2 (ja) * 1994-06-29 2001-01-09 東京エレクトロン株式会社 成膜処理室への液体材料供給装置及びその使用方法
DE69717182T2 (de) * 1996-03-07 2003-07-24 Tadahiro Ohmi Excimerlasergenerator
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
FI111329B (fi) * 1996-06-17 2003-07-15 Antti Lillbacka Menetelmä hammaslangan kiristämiseksi, kiristysväline ja hammaslankain
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US5908046A (en) * 1997-03-12 1999-06-01 Erc Industries, Inc. Back seat rising stem gate valve
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6033992A (en) * 1997-08-19 2000-03-07 Micron Technology, Inc. Method for etching metals using organohalide compounds
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP3567070B2 (ja) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
DE19847848C1 (de) * 1998-10-16 2000-05-11 R3 T Gmbh Rapid Reactive Radic Vorrichtung und Erzeugung angeregter/ionisierter Teilchen in einem Plasma
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100837208B1 (ko) * 2001-12-31 2008-06-11 엘지디스플레이 주식회사 박막증착장비용 펌프의 배관 클리닝시의 운전방법 및 그보조장치
KR101015695B1 (ko) * 2002-10-18 2011-02-22 더 비오씨 그룹 인코포레이티드 반도체 챔버용 불소의 열적 활성화 방법
KR100724266B1 (ko) * 2005-09-26 2007-05-31 동부일렉트로닉스 주식회사 대기압 플라즈마를 이용한 실리콘 웨이퍼 표면 세정 방법및 장치
KR101037916B1 (ko) * 2008-07-18 2011-05-30 최영이 강화유리문의 고정프레임 결합구조
KR20120051046A (ko) * 2009-08-24 2012-05-21 썽뜨르 나쇼날르 드 라 르쉐르쉐 씨엉띠삐끄 실리콘 기판의 표면 세정 방법
KR101493606B1 (ko) * 2013-08-27 2015-02-13 김정대 기체 세정 장치
KR20160066460A (ko) * 2014-12-02 2016-06-10 천인관 챔버 클리닝이 가능한 코팅 장치
KR20210047375A (ko) * 2018-09-26 2021-04-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버용 열 전도성 스페이서
KR102100770B1 (ko) * 2019-01-30 2020-04-14 김경민 밸브 장치, 이를 포함하는 기판 처리 설비 및 처리 방법
KR20220106463A (ko) 2021-01-22 2022-07-29 김경민 밸브 장치 및 그 제어 방법

Also Published As

Publication number Publication date
US20040144400A1 (en) 2004-07-29
JP2007043205A (ja) 2007-02-15
JP3902408B2 (ja) 2007-04-04
KR100767762B1 (ko) 2007-10-17
JP2001274105A (ja) 2001-10-05
US20020011210A1 (en) 2002-01-31
US20040144489A1 (en) 2004-07-29
JP4417362B2 (ja) 2010-02-17
US6736147B2 (en) 2004-05-18
EP1118692A1 (en) 2001-07-25
US20070227554A1 (en) 2007-10-04

Similar Documents

Publication Publication Date Title
KR100767762B1 (ko) 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US5326723A (en) Method for improving stability of tungsten chemical vapor deposition
JP4916119B2 (ja) リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置
US6825051B2 (en) Plasma etch resistant coating and process
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6844273B2 (en) Precleaning method of precleaning a silicon nitride film forming system
US20080044593A1 (en) Method of forming a material layer
JP5269770B2 (ja) Cvdシステム排出のイン・シトゥー洗浄
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
KR101976559B1 (ko) 핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들
US9157151B2 (en) Elimination of first wafer effect for PECVD films
JP2013503490A (ja) ガス分配シャワーヘッドおよび洗浄方法
KR20010034128A (ko) 화학 기상 증착 냉벽 챔버 및 배출 라인의 세정 방법
WO2004102650A1 (ja) プラズマ処理装置
US6047713A (en) Method for cleaning a throttle valve
US20060160359A1 (en) Vacuum processing apparatus
JP2000323467A (ja) 遠隔プラズマ放電室を有する半導体処理装置
KR20010104260A (ko) 화학 증착챔버 내부의 오염물을 제거하기 위한 가스 반응
US20080124670A1 (en) Inductively heated trap
JP3456933B2 (ja) 半導体処理装置のクリーニング方法および半導体処理装置
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
JP3611780B2 (ja) 半導体製造装置
US20020094387A1 (en) Method for improving chemical vapor deposition of titanium
KR20010104261A (ko) 화학 증착을 개선하기 위한 챔버의 조절
US6217660B1 (en) Method for cleaning a throttle valve and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130926

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 12