KR101976559B1 - 핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들 - Google Patents

핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들 Download PDF

Info

Publication number
KR101976559B1
KR101976559B1 KR1020147000507A KR20147000507A KR101976559B1 KR 101976559 B1 KR101976559 B1 KR 101976559B1 KR 1020147000507 A KR1020147000507 A KR 1020147000507A KR 20147000507 A KR20147000507 A KR 20147000507A KR 101976559 B1 KR101976559 B1 KR 101976559B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
hwcvd
gas
cleaning
Prior art date
Application number
KR1020147000507A
Other languages
English (en)
Other versions
KR20140046437A (ko
Inventor
숙티 차테르지
정원 박
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140046437A publication Critical patent/KR20140046437A/ko
Application granted granted Critical
Publication of KR101976559B1 publication Critical patent/KR101976559B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

핫 와이어(hot wire) 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들이 본 명세서에 제공된다. 일부 실시예들에서, 기판의 표면을 세정하기 위한 방법은 기판 ―이 기판의 표면 상에 물질이 배치되어 있음 ― 을 핫 와이어 화학 기상 증착(HWCVD) 챔버에 제공하는 단계; 수소(H2) 가스를 HWCVD 챔버에 제공하는 단계; HWCVD 챔버에 배치된 하나 또는 둘 이상의 필라멘트들을 수소(H2) 가스를 해리시키기에 충분한 온도로 가열하는 단계; 및 기판의 표면으로부터 물질의 적어도 일부를 제거하기 위해 기판을 해리된 수소(H2) 가스에 노출시키는 단계를 포함할 수 있다.

Description

핫 와이어 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들{METHODS FOR CLEANING A SURFACE OF A SUBSTRATE USING A HOT WIRE CHEMICAL VAPOR DEPOSITION (HWCVD) CHAMBER}
본 발명의 실시예들은 일반적으로 반도체 기판 처리에 관한 것이다.
예를 들면, 에피택셜 성장 프로세스들과 같은 증착 프로세스들에서, 원하는 조성을 갖는 균일한 층이 증착되도록 하기 위해 청정한 및/또는 오염물 없는 표면이 요구된다. 청정한 및/또는 오염물 없는 표면을 제공하기 위해, 세정 프로세스가 수행된다. 예를 들면, 산소 또는 탄소 함유 오염물 층들을 제거하기 위한 종래의 기판 세정 프로세스는 전형적으로, 탄탈(Ta) 튜브의 표면들 상에 흡착된 수소(H2)를 해리시키기 위해, 프로세스 챔버 내에 배치된 그 탄탈(Ta) 튜브를 섭씨 약 1600도보다 높은 온도로 가열함으로써, 원자 수소 소스를 생성하는 단계를 포함한다. 그러나, 수소(H2)를 해리시키기 위해 요구되는 고온들에 기인하여, 본 발명자들은 이러한 프로세스들이 시간 및 에너지 소모적이라는 것을 알게 되었다.
따라서, 본 발명자들은 핫 와이어(hot wire) 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하는 개선된 방법들을 제공했다.
핫 와이어 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들이 본 명세서에서 제공된다. 일부 실시예들에서, 기판의 표면을 세정하기 위한 방법은 기판 ― 이 기판의 표면 상에 물질이 배치되어 있음 ― 을 핫 와이어 화학 기상 증착(HWCVD) 챔버에 제공하는 단계; 수소(H2) 가스를 HWCVD 챔버에 제공하는 단계; HWCVD 챔버에 배치된 하나 또는 둘 이상의 필라멘트들을 수소(H2) 가스를 해리시키기에 충분한 온도로 가열하는 단계; 및 기판의 표면으로부터 물질의 적어도 일부를 제거하기 위해 기판을 해리된 수소(H2) 가스에 노출시키는 단계를 포함할 수 있다.
본 발명의 다른 및 추가 실시예들은 아래에 설명된다.
위에서 간략히 요약하고 아래에 보다 더 상세하게 논의되는 본 발명의 실시예들은 첨부된 도면들에 도시된 본 발명의 예시적인 실시예들을 참조로 하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로, 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 일부 실시예들에 따라 핫 와이어 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법의 흐름도이다.
도 2a 및 도 2b는 본 발명의 일부 실시예들에 따른, 도 1의 처리 시퀀스의 상이한 단계들 동안의 기판의 예시적인 단면도들이다.
도 3은 본 발명의 일부 실시예들에 따라 도 1에 도시된 방법들을 수행하기에 적합한 HWCVD 챔버이다.
이해를 돕기 위해, 도면들에 공통인 동일 엘리먼트들을 표시하기 위해 가능한 경우 동일 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것은 아니며, 명확성을 위해 간략화될 수 있다. 일 실시예의 엘리먼트들 및 피쳐들은 추가 설명 없이도 다른 실시예들에 유익하게 통합(incorporate)될 수 있음이 고려된다.
본 발명의 실시예들은 핫 와이어 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들을 제공한다. 본 발명의 방법들은 종래의 기판 세정 프로세스들보다 더 효율적이고 시간 소모가 더 적은, 기판 표면을 세정(예를 들면, 표면 오염물들, 산화물 층들, 탄화물 층들 등의 제거)하는 방법들을 유리하게 제공할 수 있다.
도 1은 본 발명의 일부 실시예들에 따라 핫 와이어 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법(100)의 흐름도이다. 도 2a 및 도 2b는 본 발명의 일부 실시예들에 따른, 도 1의 처리 시퀀스의 상이한 단계들 동안의 기판의 예시적인 단면도들이다. 본 발명의 방법들은 본 발명의 실시예들에 따라 반도체 기판들을 처리하기에 적합한 임의의 HWCVD 챔버, 이를테면, 도 3에 대하여 아래에 논의되는 HWCVD 챔버에서 수행될 수 있다.
방법(100)은 일반적으로 102에서 시작하는데, 여기서, 선택적으로, 기판(예를 들면, 기판(200))이 원하는 온도로 가열될 수 있다. 원하는 온도는, 예를 들면, 약 실온(예를 들면, 섭씨 약 20-25도) 내지 섭씨 약 1000도와 같은 임의의 온도일 수 있다. 세정 프로세스(예를 들면, 아래에 설명된 기판(200)의 표면의 세정)를 수행하는 단계 이전에 기판(200)을 가열하는 단계가 기판(200)으로부터의 일부 오염물들의 제거 및/또는 가스 제거(de-gassing)를 용이하게 할 수 있다. 게다가, 세정 프로세스를 수행하는 단계 이전에 기판(200)을 가열하는 단계는 기판(200)을 세정하기 위해, 기판 상에 배치된 물질들 또는 하나 또는 둘 이상의 층들(예를 들면, 아래에 설명된 층(202))의 제거를 용이하게 하는데 필요한 에너지의 적어도 일부를 제공할 수 있고, 따라서 HWCVD 챔버에 의해 제공될 필요가 있는 에너지량을 감소시킬 수 있다. 일부 실시예들에서, 기판(200)은 세정 프로세스를 수행하기 위해 사용되는 챔버(예를 들면, 아래에 설명된 HWCVD 챔버(300))에서 가열될 수 있다. 일부 실시예들에서, 기판(200)은 세정 프로세스를 수행하기 위해 사용되는 것(예를 들면, 아래에 설명된 HWCVD 챔버(300))과 상이한 챔버에서 가열될 수 있다. 기판(200)이 상이한 챔버에서 가열되는 실시예들에서, 기판으로부터의 물질들에 의한 HWCVD 챔버의 오염의 발생이 감소될 수 있다.
세정 프로세스를 수행하기 위해 사용되는 것과 상이한 챔버에서 기판이 가열되는 실시예들에서, 챔버는 예를 들면, 어닐링 챔버, 증착 챔버 등과 같은, 기판(200)을 원하는 온도로 가열하기에 적합한 임의의 유형의 챔버일 수 있다. 일부 실시예들에서, 챔버는 도 3에 대하여 아래에 설명된 HWCVD 챔버와 같은 HWCVD 챔버일 수 있다. 일부 실시예들에서, 챔버는 2011년 5월 5일자로 공개되고 본 발명의 양수인에게 양도된, Dieter Haas 등의 미국 특허출원 공개 제2011/0104848호에 설명된 것과 같은, 예를 들면, 클러스터 툴 또는 인-라인 HWCVD 툴과 같은 멀티-챔버 툴에 커플링되는 하나 또는 복수의 챔버들일 수 있다.
도 2a를 참조하면, 기판(200)은 임의의 적합한 기판, 이를테면, 도핑되거나 도핑되지 않은 실리콘 기판, Ⅲ-Ⅴ족 화합물 기판, Ⅱ-Ⅵ족 화합물 기판, 실리콘 게르마늄(SiGe) 기판, 에피-기판, 실리콘-온-절연체(SOI) 기판, 디스플레이 기판, 이를테면, 액정 디스플레이(LCD), 플라즈마 디스플레이, EL(electro luminescence) 램프 디스플레이, 발광 다이오드(LED) 기판, 태양 전지 어레이, 태양 전지판(solar panel) 등 일 수 있다. 일부 실시예들에서, 기판(200)은 200 또는 300㎜ 반도체 웨이퍼와 같은 반도체 웨이퍼일 수 있다. 일부 실시예들에서, 기판(200)은, 예를 들면, 약 1000㎜ × 1250㎜ 기판 또는 약 2200㎜ × 2500㎜ 기판과 같은 대형 LCD 또는 유리 기판일 수 있다.
일부 실시예들에서, 기판(200)은, 하나 또는 둘 이상의 층들, 예를 들면, 산화물 층들, 질화물 층들, 고 K 또는 저 K 유전체 층들, 전도성 층들 등을 포함할 수 있다. 대안적으로 또는 조합으로, 일부 실시예들에서, 하나 또는 둘 이상의 피쳐들(예를 들면, 비아, 트렌치, 이중 다마신 구조체 등)은 기판(200) 및/또는 그 위에 형성된 하나 또는 둘 이상의 층들에 또는 그 내에 형성될 수 있다. 피쳐들은, 예를 들면, 식각 프로세스와 같은 임의의 적합한 프로세스를 통하여 형성될 수 있다. 게다가, 기판(200)은 예열 이전에 습식 화학적 세정 프로세스 등과 같은 추가 처리를 받을 수 있다.
일부 실시예들에서, 기판(200)은 제거될, 기판(200)의 표면(204) 상에 배치된 물질을 포함할 수 있다. 일부 실시예들에서, 제거될 물질은 기판(200)의 표면(204) 상에 배치되는 층(202)을 형성할 수 있다. 층(202)은 이런 제거가 요구되는 임의의 유형의 층일 수 있다. 예를 들면, 일부 실시예들에서, 층(202)은, 예를 들면, 탄화물 층과 같이, 탄소를 포함할 수 있다. 대안적으로, 층(202)은 산소, 예를 들면, 실리콘 산화물(SiO2), 티타늄 산화물(TiO2), 니켈 산화물(NiO2) 등을 포함하는 표면 산화물 또는 고유의(native) 산화물 층과 같은 산화물 층을 포함할 수 있다. 층(202)은 예를 들면, 약 1 내지 약 2 나노미터의 두께를 가질 수 있다.
104에서, 기판(200)은 핫 와이어 화학 기상 증착(HWCVD) 챔버에 제공된다. HWCVD 챔버는 도 3에 대하여 아래에서 논의되는 HWCVD 챔버와 같은, 반도체 기판들을 처리하기에 적합한 임의의 HWCVD 챔버일 수 있다. 기판(200)을 HWCVD 챔버에 제공하는 단계 이전에 기판(200)이 가열되는 실시예들에서(즉, 102에서 위에서 논의됨), 기판(200)은, 기판(200)으로부터의 열의 손실을 최소화하면서 기판(200)을 이송하기에 적합한 임의의 수단들을 통하여 이송될 수 있다. 일부 실시예들에서, 예를 들면, HWCVD 챔버가 클러스터 툴의 일부인 경우에, 기판(200)은 이송 챔버에 배치된 이송 로봇을 통하여 이송될 수 있다. 대안적으로, 일부 실시예들에서, 예를 들면, HWCVD 챔버가 인라인 툴의 일부인 경우에, 기판(200)은 선형 컨베이어를 통하여 예열 챔버로부터 HWCVD 챔버로 직접 이송되거나, 또는 예열 챔버와 HWCVD 챔버 사이에 배치된 분리 챔버를 통하여 이송될 수 있다.
선택적으로, 106에서, 기판(200)은 HWCVD 챔버에 있는 동안 원하는 온도까지 가열될 수 있다. 선택적인 106에서의 가열은 선택적인 102에서의 전술된 가열에 더하여 또는 그의 대안으로서 수행될 수 있다. 게다가, 선택적인 106에서의 가열은 아래에 설명된 바와 같이 세정 프로세스 이전에 또는 그와 동시에 수행될 수 있다. 기판(200)은, 예를 들면, 물질들 또는 층(202)의 제거를 용이하게 하기 위해 요구되는 에너지량에 의해 영향을 받는 임의의 온도로 가열될 수 있다. 예를 들면, 원하는 온도는 약 실온(예를 들면, 섭씨 약 20-25도) 내지 섭씨 약 1000도일 수 있다. 기판(200)은, 예를 들면, HWCVD 챔버의 기판 지지체에 매립된(embedded) 기판 가열기(예를 들면, 아래에 설명된 기판 지지체(328)의 가열기(329)), 또는 HWCVD 챔버에 배치된 하나 또는 둘 이상의 필라멘트들(예를 들면, 아래에 설명된 필라멘트들 또는 와이어들(310))과 같은 임의의 적합한 메커니즘을 통하여 가열될 수 있다. 세정 프로세스(예를 들면, 아래에 설명된 기판(200)의 표면의 세정)를 수행하기 이전에 기판(200)을 가열하는 단계는 기판(200)을 세정하기 위해, 기판 상에 배치된 하나 또는 둘 이상의 층들(예를 들면, 아래에 설명된 층(202))의 제거를 용이하게 하는데 필요한 에너지의 적어도 일부를 제공할 수 있고, 따라서 HWCVD 챔버에 의해 제공될 필요가 있는 수소 가스의 양 및 노출의 시간을 감소시킬 수 있다.
다음으로, 108에서, 수소(H2) 가스가 HWCVD 챔버에 제공될 수 있다. 수소(H2) 가스는, (예를 들면, 300㎜ 웨이퍼 프로세스 챔버에 대하여) 예를 들면, 약 50 내지 약 700sccm과 같은 임의의 적합한 유량으로 HWCVD 챔버에 제공될 수 있다. 본 명세서에서 제공되는 유량들은 세정되고 있는 기판의 크기 및/또는 HWCVD 챔버의 처리 용적의 크기에 의존하여 변화할 수 있다. 일부 실시예들에서, 수소(H2) 가스는, 예를 들면, 헬륨(He), 아르곤(Ar) 등과 같은 비활성 가스로 희석될 수 있다. 수소(H2) 가스 대 비활성 가스의 비는 예를 들면, 약 1:9 내지 약 9:1과 같은 임의의 비일 수 있다. 수소 가스 대 비활성 가스의 비는 아래에 논의된 바와 같이, 층(202)의 제거를 용이하게 하기 위해 (해리되는 경우) 필요한 에너지량을 생성하는데 필요한 양의 수소(H2)를 제공하도록 조정될 수 있다.
수소(H2) 가스가 희석되는 실시예들에서, 수소(H2) 가스 및 비활성 가스는 이 가스들을 HWCVD 챔버에 제공하는 단계 이전에 혼합될 수 있다(예를 들면, 수소(H2) 가스와 비활성 가스의 혼합물을 아래에 설명된 유입구(332) 및/또는 샤워헤드(333)에 제공하는 단계 이전에 혼합될 수 있음). 대안적으로, 일부 실시예들에서, 수소(H2) 가스 및 비활성 가스는 2개의 독립 가스 공급부들을 통하여 HWCVD 챔버 안으로 함께 유동(co-flow)될 수 있고, HWCVD 챔버 내에서(예를 들면, 아래에서 논의된 내부 처리 용적(304)에서) 혼합될 수 있다.
110에서, HWCVD 챔버에 배치된 하나 또는 둘 이상의 필라멘트들을 수소(H2) 가스를 해리시키기에 충분한 온도로 가열하기 위해 이 필라멘트들에 전류가 제공된다. 하나 또는 둘 이상의 필라멘트들은, 예를 들면 도 3에 대하여 아래에 설명된 HWCVD 챔버에 배치된 복수의 필라멘트들과 같은, 임의의 유형의 HWCVD 챔버에 배치된 임의의 유형의 필라멘트들일 수 있다. 온도는, 수소(H2) 가스의 해리를 일으키기에 그리고 추가로 원하는 물질, 또는 층(202)을 제거하는데 필요한 적합한 에너지량을 제공하기에 적합한, 예를 들면, 섭씨 약 1000 내지 약 2400도와 같은 임의의 온도일 수 있다. 일부 실시예들에서, 온도는, 층(202)의 조성, 및 그에 따른 층(202) 화합물들의 화학 결합들을 끊는데 필요한 에너지량 및/또는 해리된 가스와 층(202) 사이의 반응의 활성 에너지에 의해 적어도 부분적으로 좌우되어서, 물질 또는 층(202)의 제거를 용이하게 할 수 있다. 예를 들면, 층(202)이 실리콘 산화물(SiO2)을 포함하는 실시예들에서, 해리된 수소 원자들 사이의 반응은 다음과 같이 나타낼 수 있다:
2H*(g) + SiO2(s) = SiO(g) + H2O(g)
이러한 실시예들에서, 위의 반응을 용이하게 하기 위해 요구되는 온도는 섭씨 약 700도보다 높을 수 있거나, 또는 일부 실시예들에서, 섭씨 약 750도보다 높을 수 있다.
다음으로, 112에서, 기판(200)의 표면(204)은 기판(200)을 해리된 수소(H2) 가스에 노출시킴으로써 세정된다. 기판(200)을 해리된 수소(H2) 가스에 노출시킴으로써, 수소 원자들은 (층(202)과 같은) 기판의 표면 상에 배치된 물질과 반응하고, 그에 의해 물질들 또는 층(202)의 제거를 용이하게 하며, 그에 따라서 기판(200)의 표면(204)을 세정한다. 예를 들면, 층이 산화물(예를 들면, 고유의 산화물 층)을 포함하는 실시예들에서, 수소 원자들은 산화물과 반응하여, 산화물 환원 및 휘발성 생성물들 형태, 즉 원소들의 분자들 또는 이러한 원소들의 수소화물들 및/또는 저급 산화물(lower oxide)들을 야기한다. 예를 들면, 산화물 층이 실리콘 산화물(SiO2)을 포함하는 실시예들에서, 반응들의 휘발성 생성물들은 물(H2O), 및 실리콘(Si)과 탄소(C)의 수소화물들일 수 있다. 일부 실시예들에서, 수소 원자들과 물질들 또는 층(202) 사이의 반응에 더하여, 원자 수소는 기판(200)의 표면(204)과 더 반응할 수 있고, 따라서 표면(204) 물질의 휘발성 생성물들을 형성하고, 그에 의해 기판(200)의 표면(204)이 식각되도록 할 수 있다. 예를 들면, 기판(200)이 갈륨 비화물(GaAs)을 포함하는 실시예들에서, 휘발성 생성물들인 비소(As) 및 갈륨(Ga)의 수소화물들이 생성될 수 있다.
기판(200)은 층(202)의 제거를 용이하게 하기에 적합한 임의의 양의 시간 동안 해리된 수소(H2) 가스에 노출될 수 있다. 예를 들면, 일부 실시예들에서, 기판은 약 10 내지 약 300초 동안, 또는 일부 실시예들에서, 약 1분 미만 동안, 해리된 수소(H2) 가스에 노출될 수 있다.
물질들 또는 층(202)의 제거를 용이하게 하기 위해, 기판(200)이 수소 가스 및 그의 분해된 종들에 노출되도록, 기판(200)은 HWCVD 소스(예를 들면, 도 3에 대하여 아래에 설명된 필라멘트들 또는 와이어들(310)) 아래에 위치될 수 있다. 기판(200)은 HWCVD 소스 아래에서, 정적 위치에, 또는 일부 실시예들에서, 기판(200)이 HWCVD 소스 아래를 통과함에 따라 세정을 용이하게 하기 위해 동적으로, 기판 지지체(예를 들면, 도 3에 대하여 아래에 설명된 기판 지지체(328)) 상에 위치될 수 있다.
상기에 더하여, 추가 프로세스 파라미터들이, 기판(200)으로부터 층(202)의 제거를 용이하게 하기 위해 이용될 수 있고, 층(202)을 제거하는데 필요한 에너지량에 의해 적어도 부분적으로 조절될 수 있다. 예를 들면, 일부 실시예들에서, 프로세스 챔버는 약 10 내지 약 500mTorr, 또는 일부 실시예들에서, (예를 들면, 300㎜ 웨이퍼 프로세스 챔버에 대하여) 약 100mTorr의 압력으로 유지될 수 있다. 본 명세서에서 제공된 챔버 압력들은, 세정되고 있는 기판의 크기 및/또는 HWCVD 챔버의 처리 용적의 크기에 따라 변화할 수 있다. 대안적으로, 또는 조합으로, 일부 실시예들에서, HWCVD 챔버의 물리적 파라미터들(예를 들면, 아래에 설명된 필라멘트 직경, 필라멘트 대 필라멘트 거리(336), 또는 필라멘트 대 기판 거리(340))은, 기판(200)으로부터 층(202)의 제거를 용이하게 하기 위해 조정될 수 있다.
위의 실시예들 중 임의의 실시예에서, 프로세스 파라미터들(예를 들면, 수소(H2) 가스의 유량, 수소 가스(H2) 대 비활성 가스의 비, 기판 온도, 필라멘트 온도, 추가 프로세스 파라미터들, HWCVD 챔버의 물리적 파라미터들 등) 중 임의의 프로세스 파라미터는 예를 들면, 해리된 가스와 층(202) 사이의 반응의 활성 에너지와 같은, 층(202)의 제거를 용이하게 하는데 필요한 에너지량 및/또는 층(202) 화합물들의 화학 결합들을 끊는데 필요한 에너지량을 제공하기 위해 서로에 대하여 조정되어, 층(202)의 제거를 용이하게 할 수 있다.
110에서 기판(200)의 표면(204)을 세정하는 단계 이후, 방법(100)은 일반적으로 종료되고, 기판(200)에는 추가 처리가 계속 진행될 수 있다. 일부 실시예들에서, 추가 층 증착들, 식각, 어닐링 등과 같은 추가 프로세스들이, 예를 들면, 기판(200) 상에 반도체 디바이스를 형성하기 위해 또는 광전지들(PV), 발광 다이오드들(LED), 또는 디스플레이들(예를 들면, 액정 디스플레이(LCD), 플라즈마 디스플레이, EL(electro luminescence) 램프 디스플레이 등)과 같은 애플리케이션들에서 사용하기 위한 기판(200)을 준비하기 위해 기판(200)에 대해 수행될 수 있다.
도 3은 본 발명의 실시예들에 따라 사용하기에 적합한 HWCVD 프로세스 챔버(300)의 개략적 측면도를 도시한다. 프로세스 챔버(300)는 일반적으로 내부 처리 용적(304)을 갖는 챔버 바디(302)를 포함한다. 복수의 필라멘트들, 또는 와이어들(310)은 챔버 바디(302) 내에(예를 들면, 내부 처리 용적(304) 내에) 배치된다. 복수의 와이어들(310)은 또한 내부 처리 용적(304)을 가로질러 앞뒤로 라우팅된(routed) 단일 와이어일 수 있다. 복수의 와이어들(310)은 HWCVD 소스를 포함한다. 와이어들(310)은, 예를 들면, 텅스텐, 탄탈, 이리듐, 니켈-크롬, 팔라듐 등과 같은 임의의 적합한 전도성 물질을 포함할 수 있다. 와이어들(310)은 프로세스 챔버(300)에서 프로세스를 용이하게 하도록 원하는 온도를 제공하기에 적합한 임의의 두께를 가질 수 있다. 예를 들면, 일부 실시예들에서, 각 와이어(310)는 약 0.2 내지 약 1㎜, 또는 일부 실시예들에서, 약 0.5㎜의 직경을 가질 수 있다.
각 와이어(310)는 고온으로 가열되는 경우 와이어를 단단하게 유지하기 위해, 그리고 와이어에 전기 접촉을 제공하기 위해 지지 구조체들(미도시)에 의해 제자리에(in place) 클램핑된다. 일부 실시예들에서, 각 와이어(310) 사이의 거리(즉, 와이어 대 와이어 거리(336))는 프로세스 챔버(300) 내에서 원하는 온도 프로파일을 제공하도록 변화될 수 있다. 예를 들면, 일부 실시예들에서, 와이어 대 와이어 거리(336)는 약 10 내지 약 120㎜, 또는 일부 실시예들에서, 약 20㎜, 또는 일부 실시예들에서, 약 60㎜일 수 있다.
와이어(310)를 가열하기 위해 전류를 제공하도록 와이어(310)에 전력 공급부(313)가 커플링된다. HWCVD 소스(예를 들면, 와이어들(310)) 아래에서, 예를 들면 기판 지지체(328) 상에 기판(330)(예를 들면, 전술된 기판(200))이 위치될 수 있다. 기판 지지체(328)는 정적 증착을 위해 정지될 수 있거나, 또는 기판(330)이 HWCVD 소스 아래를 통과함에 따라 동적 증착을 위해 (화살표(305)에 의해 도시된 바와 같이) 이동할 수 있다. 일부 실시예들에서, 기판 지지체(328)는 기판(200)의 온도의 제어를 용이하게 하기 위해 기판 지지체에 매립된 가열기(329)를 포함할 수 있다. 가열기(329)는, 예를 들면, 저항성 가열기와 같은 임의의 유형의 가열기일 수 있다.
일부 실시예들에서, 각 와이어(310)와 기판(330) 사이의 거리(즉, 와이어 대 기판 거리(340))는 프로세스 챔버(300)에서 수행되고 있는 특정 프로세스를 용이하게 하기 위해 변화될 수 있다. 예를 들면, 일부 실시예들에서, 와이어 대 기판 거리(340)는 약 20 내지 약 120㎜, 또는 일부 실시예들에서, 약 45㎜, 또는 일부 실시예들에서, 약 60㎜일 수 있다.
챔버 바디(302)는 하나 또는 둘 이상의 프로세스 가스들을 제공하기 위한 하나 또는 둘 이상의 가스 유입구들(하나의 가스 유입구(332)가 도시됨), 및 프로세스 챔버(300) 내에서 적합한 동작 압력을 유지하기 위한 그리고 과도한 프로세스 가스들 및/또는 프로세스 부산물들을 제거하기 위한, 진공 펌프로의 하나 또는 둘 이상의 배출구들(2개의 배출구들(334)이 도시됨)을 더 포함한다. 가스 유입구(332)는 와이어들(310) 위에 가스를 균일하게, 또는 원하는 대로 분배하기 위해 (도시된 바와 같은) 샤워헤드(333) 또는 다른 적합한 가스 분배 엘리먼트로 가스를 공급(feed)할 수 있다.
일부 실시예들에서, 하나 또는 둘 이상의 실드들(320)은, 예를 들면, 와이어들과 기판 사이에 제공될 수 있고, 기판에 대한 증착 영역을 정의하는 개구(324)를 정의할 수 있으며, 챔버 바디(302)의 내부 표면들 상에 원치 않는 증착을 감소시킬 수 있다. 대안적으로 또는 조합으로, 하나 또는 둘 이상의 챔버 라이너들(322)이 세정을 더 쉽게 하기 위해 사용될 수 있다. 실드들, 및 라이너들의 사용은 온실 가스 NF3와 같은 바람직하지 않은 세정 가스들의 사용을 배제하거나 감소시킬 수 있다. 실드들(320) 및 챔버 라이너들(322)은 일반적으로 챔버에서 유동하는 프로세스 가스들에 기인하여 증착되는 물질들이 챔버 바디의 내부 표면들에 바람직하지 않게 모이는 것을 방지한다. 실드들(320) 및 챔버 라이너들(322)은 제거 가능하고, 교체 가능하며, 및/또는 세정 가능할 수 있다. 실드들(320) 및 챔버 라이너들(322)은 와이어들(310) 둘레 및 코팅 구획(compartment)의 모든 벽들 위를 포함하지만 이에 한정되지 않는, 코팅될 수 있는 챔버 바디의 모든 영역을 커버하도록 구성될 수 있다. 전형적으로, 실드들(320) 및 챔버 라이너들(322)은 알루미늄(Al)으로 제조될 수 있고, (증착된 물질이 벗겨지는 것(flaking off)을 방지하도록) 증착되는 물질들의 접착을 향상시키기 위해 조면화된(roughened) 표면을 가질 수 있다. 실드들(320) 및 챔버 라이너들(322)은 임의의 적합한 방식으로 HWCVD 소스들의 둘레와 같은, 프로세스 챔버의 원하는 영역들에 장착될 수 있다. 일부 실시예들에서, 소스, 실드들, 및 라이너들은 증착 챔버의 상부 부분을 개방함으로써 유지 및 세정을 위해 제거될 수 있다. 예를 들면, 일부 실시예들에서, 증착 챔버의 리드(lid) 또는 천장은, 리드를 지지하고 그리고 리드를 증착 챔버의 바디에 고정시키기 위한 표면을 제공하는 플랜지(338)를 따라 증착 챔버의 바디에 커플링될 수 있다.
제어기(306)가 프로세스 챔버(300)의 동작을 제어하기 위해 프로세스 챔버(300)의 다양한 컴포넌트들에 커플링될 수 있다. 프로세스 챔버(300)에 커플링되게 개략적으로 도시되지만, 제어기는 본 명세서에서 개시된 방법들에 따라 HWCVD 증착 프로세스를 제어하기 위해, 전력 공급부(313), 유입구(332)에 커플링된 가스 공급부(미도시), 배출구(334)에 커플링된 진공 펌프 및/또는 스로틀 밸브(미도시), 기판 지지체(328) 등과 같은, 제어기에 의해 제어될 수 있는 임의의 컴포넌트에 동작가능하게 연결될 수 있다. 제어기(306)는 일반적으로 중앙 처리 장치(CPU)(308), 메모리(312), 및 CPU(308)를 위한 지원 회로들(316)을 포함한다. 제어기(306)는 HWCVD 프로세스 챔버(300)를 직접 제어하거나, 또는 특정 지원 시스템 컴포넌트들과 관련된 다른 컴퓨터들 또는 제어기들(미도시)을 통하여 제어할 수 있다. 제어기(306)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(308)의 메모리 또는 컴퓨터-판독 가능한 매체(312)는 로컬 또는 원격의, 랜덤 액세스 메모리(RAM), 읽기 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 플래시, 또는 임의의 다른 형태의 디지털 스토리지와 같은 용이하게 구할 수 있는 메모리 중 하나 또는 둘 이상일 수 있다. 지원 회로들(316)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(308)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 본 명세서에서 설명된 바와 같은 본 발명의 방법들은, 본 명세서에서 설명된 방식으로 프로세스 챔버(300)의 동작을 제어하기 위해, 제어기를 특수 목적 제어기가 되게 하도록 실행되거나 호출(invoke)될 수 있는 소프트웨어 루틴(314)으로서 메모리(312)에 저장될 수 있다. 소프트웨어 루틴은 또한 CPU(308)에 의해 제어되고 있는 하드웨어로부터 원격에 위치되는 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
따라서, 핫 와이어 화학 기상 증착(HWCVD) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들이 본 명세서에서 제공된다. 본 발명의 방법들은 종래의 기판 세정 프로세스들보다 더 효율적이고 그리고 덜 시간 소모적인, 기판 표면을 세정(예를 들면, 산화물 층들, 탄화물 층들 등의 제거)하는 방법들을 유리하게 제공할 수 있다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가 실시예들이 본 발명의 기본 범위를 벗어나지 않고 안출될 수 있다.

Claims (15)

  1. 기판의 표면을 세정하기 위한 방법으로서,
    기판을 핫 와이어 화학 기상 증착(HWCVD) 챔버에 제공하기 전에 기판 - 상기 기판은 상기 기판의 표면 상에 배치된 물질을 가짐 - 을 섭씨 20 내지 1000도의 원하는 온도로 가열하는 단계;
    상기 기판을 핫 와이어 화학 기상 증착(HWCVD) 챔버의 기판 지지체에 제공하는 단계;
    상기 기판을 상기 HWCVD 챔버에 제공하는 단계 이후에 그리고 수소(H2) 가스를 상기 HWCVD 챔버에 제공하는 단계 이전에 상기 기판을 섭씨 20 내지 1000도의 원하는 온도로 유지하는 단계;
    수소(H2) 가스를 상기 HWCVD 챔버에 제공하는 단계;
    상기 HWCVD 챔버에 배치된 하나 또는 둘 이상의 필라멘트들을 상기 수소(H2) 가스를 해리시키기에 충분한 온도로 가열하는 단계; 및
    상기 기판의 표면으로부터 상기 물질의 적어도 일부를 제거하도록 상기 기판을 해리된 수소(H2) 가스에 노출시키는 단계를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 수소(H2) 가스를 상기 HWCVD 챔버에 제공하는 단계는, 수소(H2) 가스를 비활성 가스로 희석시키는 단계를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 수소(H2) 가스를 비활성 가스로 희석시키는 단계는,
    1:9 내지 9:1의 수소(H2) 대 비활성 가스의 비를 제공하는 단계를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  4. 제 2 항에 있어서,
    비활성 가스는 아르곤(Ar) 또는 헬륨(He) 중 하나인,
    기판의 표면을 세정하기 위한 방법.
  5. 제 2 항에 있어서,
    상기 수소(H2) 가스를 비활성 가스로 희석시키는 단계는,
    수소(H2) 가스와 비활성 가스를 혼합하고 그리고 수소(H2) 가스와 비활성 가스의 혼합물을 상기 HWCVD 챔버에 제공하는 단계; 또는
    수소(H2) 가스와 비활성 가스를 상기 HWCVD 챔버에 함께 유동(co-flow)시키는 단계;
    중에서 하나를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  6. 삭제
  7. 삭제
  8. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하나 또는 둘 이상의 필라멘트들은 복수의 필라멘트들을 포함하고, 상기 복수의 필라멘트들의 각각은 근처의 다른 필라멘트로부터 10 내지 120㎜에 배치되는,
    기판의 표면을 세정하기 위한 방법.
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하나 또는 둘 이상의 필라멘트들은 상기 기판 위 20 내지 120㎜에 배치되는,
    기판의 표면을 세정하기 위한 방법.
  10. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하나 또는 둘 이상의 필라멘트들은 0.2 내지 1㎜의 직경을 갖는,
    기판의 표면을 세정하기 위한 방법.
  11. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 HWCVD 챔버 내에 배치된 상기 하나 또는 둘 이상의 필라멘트의 온도는 섭씨 1000 내지 2400도인,
    기판의 표면을 세정하기 위한 방법.
  12. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 기판의 표면으로부터 상기 물질의 적어도 일부를 제거하도록 상기 기판을 해리된 수소(H2) 가스에 노출시키는 단계는, 10 내지 300초 동안 상기 기판을 해리된 수소(H2) 가스에 노출시키는 단계를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  13. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 HWCVD 챔버는 상기 기판의 표면으로부터 상기 물질의 적어도 일부를 제거하는 동안 10 내지 500mTorr의 압력으로 유지되는,
    기판의 표면을 세정하기 위한 방법.
  14. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 물질은 탄소 또는 산소 중 하나를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  15. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 물질은 1 내지 2 나노미터의 두께를 갖는,
    기판의 표면을 세정하기 위한 방법.
KR1020147000507A 2011-06-10 2012-06-06 핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들 KR101976559B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161495728P 2011-06-10 2011-06-10
US61/495,728 2011-06-10
US13/488,851 US20120312326A1 (en) 2011-06-10 2012-06-05 Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
US13/488,851 2012-06-05
PCT/US2012/041078 WO2012170511A2 (en) 2011-06-10 2012-06-06 Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber

Publications (2)

Publication Number Publication Date
KR20140046437A KR20140046437A (ko) 2014-04-18
KR101976559B1 true KR101976559B1 (ko) 2019-05-09

Family

ID=47292096

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147000507A KR101976559B1 (ko) 2011-06-10 2012-06-06 핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들

Country Status (6)

Country Link
US (1) US20120312326A1 (ko)
JP (1) JP2014522579A (ko)
KR (1) KR101976559B1 (ko)
CN (1) CN103597581B (ko)
TW (1) TWI599671B (ko)
WO (1) WO2012170511A2 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8662941B2 (en) 2011-05-12 2014-03-04 Applied Materials, Inc. Wire holder and terminal connector for hot wire chemical vapor deposition chamber
US8642376B2 (en) 2011-05-16 2014-02-04 Applied Materials, Inc. Methods for depositing a material atop a substrate
US8785304B2 (en) 2011-08-26 2014-07-22 Applied Materials, Inc. P-I-N structures and methods for forming P-I-N structures having an i-layer formed via hot wire chemical vapor deposition (HWCVD)
US8906454B2 (en) 2011-09-12 2014-12-09 Applied Materials, Inc. Methods for depositing metal-polymer composite materials atop a substrate
US20140179110A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
WO2014100047A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for cleaning substrate structures with atomic hydrogen
US9653282B2 (en) 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
IL242858A (en) 2015-11-30 2017-04-30 Elbit Systems Land & C4I Ltd Autonomous vehicle control system
US20190093214A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
US10513778B2 (en) * 2017-09-22 2019-12-24 Applied Materials, Inc. Native or uncontrolled oxide reduction by HWCVD H* using specific metal chamber liner
CN114369812A (zh) * 2021-12-15 2022-04-19 北京博纳晶科科技有限公司 一种化学气相沉积设备的清洁方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001168029A (ja) * 1999-12-10 2001-06-22 Sony Corp 半導体膜形成方法及び薄膜半導体装置の製造方法
JP2011080095A (ja) * 2009-10-02 2011-04-21 Sanyo Electric Co Ltd 触媒cvd装置、膜の形成方法、太陽電池の製造方法及び基材の保持体

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3453214B2 (ja) * 1995-03-15 2003-10-06 科学技術振興事業団 触媒cvd法による薄膜トランジスタの製造方法および薄膜トランジスタ
JPH09190979A (ja) * 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
JP3737221B2 (ja) * 1996-09-06 2006-01-18 英樹 松村 薄膜作成方法及び薄膜作成装置
JPH10340857A (ja) * 1997-06-10 1998-12-22 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体製造装置
US6319728B1 (en) * 1998-06-05 2001-11-20 Applied Materials, Inc. Method for treating a deposited film for resistivity reduction
WO2000063956A1 (fr) * 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
JP4459329B2 (ja) * 1999-08-05 2010-04-28 キヤノンアネルバ株式会社 付着膜の除去方法及び除去装置
JP4710187B2 (ja) * 2000-08-30 2011-06-29 ソニー株式会社 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法
EP1258914B1 (en) * 2000-09-14 2006-11-22 Japan as represented by President of Japan Advanced Institute of Science and Technology Heating element cvd device
KR20020083767A (ko) * 2001-04-30 2002-11-04 주식회사 하이닉스반도체 선택적 에피택셜 성장 공정에서의 기판 세정 방법
JP2004085799A (ja) * 2002-08-26 2004-03-18 Kyocera Corp アモルファスシリコン系光導電部材の製造方法
JP4054232B2 (ja) * 2002-08-26 2008-02-27 京セラ株式会社 積層型薄膜デバイスの製造方法
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
US20080045030A1 (en) * 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
WO2008029315A2 (en) * 2006-09-04 2008-03-13 Philips Intellectual Property & Standards Gmbh Method of cleaning a surface region covered with contaminant or undesirable material
WO2009061322A1 (en) * 2007-11-09 2009-05-14 Midwest Research Institute Low-temperature junction growth using hot-wire chemical vapor deposition
EP2186921A1 (en) * 2008-11-13 2010-05-19 Echerkon Technologies Ltd. Filament arrangement for hot wire chemical vapour deposition
US8117987B2 (en) * 2009-09-18 2012-02-21 Applied Materials, Inc. Hot wire chemical vapor deposition (CVD) inline coating tool
US8709537B2 (en) * 2010-10-22 2014-04-29 Applied Materials, Inc. Methods for enhancing tantalum filament life in hot wire chemical vapor deposition processes
JP6181075B2 (ja) * 2011-12-23 2017-08-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子水素を用いて基板表面を洗浄するための方法及び装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001168029A (ja) * 1999-12-10 2001-06-22 Sony Corp 半導体膜形成方法及び薄膜半導体装置の製造方法
JP2011080095A (ja) * 2009-10-02 2011-04-21 Sanyo Electric Co Ltd 触媒cvd装置、膜の形成方法、太陽電池の製造方法及び基材の保持体

Also Published As

Publication number Publication date
KR20140046437A (ko) 2014-04-18
TWI599671B (zh) 2017-09-21
JP2014522579A (ja) 2014-09-04
CN103597581A (zh) 2014-02-19
TW201300562A (zh) 2013-01-01
CN103597581B (zh) 2016-12-21
US20120312326A1 (en) 2012-12-13
WO2012170511A2 (en) 2012-12-13
WO2012170511A3 (en) 2013-04-11

Similar Documents

Publication Publication Date Title
KR101976559B1 (ko) 핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들
US20150311061A1 (en) Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
TWI508181B (zh) 高遷移率單塊p-i-n二極體
US6736147B2 (en) Semiconductor-processing device provided with a remote plasma source for self-cleaning
TW202142723A (zh) 使用預處理沉積氮化矽層之方法、使用該方法所形成之結構及用於進行該方法之系統
CN102265383B (zh) 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US20050281951A1 (en) Dielectric barrier discharge method for depositing film on substrates
US10879081B2 (en) Methods of reducing or eliminating defects in tungsten film
WO2014100049A1 (en) Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
US8642376B2 (en) Methods for depositing a material atop a substrate
JPH1154441A (ja) 触媒化学蒸着装置
KR20220002748A (ko) 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
KR101594770B1 (ko) 열선 화학기상증착 프로세스들에서 탄탈륨 필라멘트 수명을 늘리기 위한 방법들
WO2014100047A1 (en) Methods and apparatus for cleaning substrate structures with atomic hydrogen
KR20210094663A (ko) 도핑된 ⅳ족 재료들을 성장시키는 방법
JPH11102871A (ja) 半導体単結晶薄膜の製造方法
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模
KR20220015331A (ko) 박막 형성 방법

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant