JP5710591B2 - プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進 - Google Patents

プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進 Download PDF

Info

Publication number
JP5710591B2
JP5710591B2 JP2012506092A JP2012506092A JP5710591B2 JP 5710591 B2 JP5710591 B2 JP 5710591B2 JP 2012506092 A JP2012506092 A JP 2012506092A JP 2012506092 A JP2012506092 A JP 2012506092A JP 5710591 B2 JP5710591 B2 JP 5710591B2
Authority
JP
Japan
Prior art keywords
layer
silicon
oxygen
process chamber
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012506092A
Other languages
English (en)
Other versions
JP2012524410A (ja
Inventor
ドンウォン チェ,
ドンウォン チェ,
ドン ヒョン リー,
ドン ヒョン リー,
ツェ プーン,
ツェ プーン,
マーノィ ヴェライカル,
マーノィ ヴェライカル,
ピーター ポルシュネフ,
ピーター ポルシュネフ,
マジード フォード,
マジード フォード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012524410A publication Critical patent/JP2012524410A/ja
Application granted granted Critical
Publication of JP5710591B2 publication Critical patent/JP5710591B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Description

本発明の実施形態は、一般に半導体基板処理に関する。
相補型金属酸化膜半導体(CMOS)技術が、集積回路において広く使用されている。CMOSデバイス製造中に通常使用される基板処理方法は、例えば、プラズマドーピングプロセスにおいて、フッ素系プラズマ前駆物質の使用を必要とする。しかしながら、プラズマドーピングプロセス中に分解した過剰なフッ素ラジカルは、下地基板の上の、下部CMOS構造を激しく腐食し、重大なプロセスインテグレーション問題やデバイス性能劣化などをもたらす。
したがって、フッ素系プラズマ基板プロセスにおいて過剰なフッ素ラジカルを減少させるための方法および装置の改良が求められている。
本明細書では、基板を処理するための方法および装置が提供される。いくつかの実施形態では、基板処理のための装置は、内部容積を画定するチャンバ本体を有するプロセスチャンバと、チャンバ本体の内表面上に配置されたシリコン含有コーティングであって、外側表面が少なくとも35原子パーセントのシリコン(Si)であるシリコン含有コーティングとを含む。プロセスチャンバは、基板処理用の任意の適切なプロセスチャンバとすることができる。本装置は、プラズマを形成するように構成されたプロセスチャンバを含むことができる。形成されるプラズマはフッ素系でよい。
いくつかの実施形態では、プロセスチャンバ内にシリコン含有コーティングを形成するための方法は、プロセスチャンバの内部容積へシリコン含有ガスを含む第1のプロセスガスを供給することと、プロセスチャンバの内表面上に、外側表面が少なくとも35パーセントのシリコンであるシリコン含有コーティングを形成することとを含む。
いくつかの実施形態では、シリコン含有コーティングは、シリコンから成るか、または基本的にシリコンから成る。いくつかの実施形態では、シリコン含有コーティングは、シリコンおよび酸素(O)の層と、シリコンの層とを交互に含むことができる。いくつかの実施形態では、コーティングは、一方の表面上に配置されたシリコンおよび酸素表面を有することができ、酸素濃度がコーティング全体にわたって徐々に減少させることにより、本質的にシリコンの、またはシリコンリッチの反対側表面が得られる。
したがって、本発明の上記フィーチャを詳細に理解することができるように、添付図面に一部が示されている実施形態を参照することにより、上記に要約されている本発明を更に詳細に説明する。しかしながら、添付図面は、本発明の典型的な実施形態を示しているにすぎず、本発明の範囲を限定するものではないので、本発明には他の同様に有効な実施形態が可能であることに注意されたい。
本発明のいくつかの実施形態による、半導体基板を処理するのに適した装置の図である。 A〜Cは、本発明のいくつかの実施形態による、プロセスチャンバの内表面上に配置されたシリコン含有コーティングの実施形態の図である。 本発明のいくつかの実施形態によって基板を処理するための方法の図である。 本発明のいくつかの実施形態によってプロセスチャンバの内表面上にシリコン含有コーティング形成するための方法の図である。 本発明のいくつかの実施形態によってプロセスチャンバの内表面上にシリコン含有コーティング形成するための方法の図である。
理解を容易にするために、可能である場合には、複数の図に共通な同一の要素を示すために、同一の参照番号を使用している。図は、原寸に比例して示されておらず、見やすくするために簡潔化する場合がある。一実施形態の要素および特徴は、さらなる説明なしに別の実施形態に有利に組み込むことができることが意図されている。
本発明の実施形態は、一般に、フッ素系プラズマ基板処理のための装置および方法に関する。いくつかの実施形態では、チャンバ内に存在する残留フッ素ラジカルを有利に減少させるために、シリコンコーティングまたはシリコンリッチコーティングを有するプロセスチャンバを設ける。いくつかの実施形態では、プロセスチャンバの内表面上にコーティングを形成するための方法が提供される。いくつかの実施形態では、プラズマドーピングのための方法が本明細書において提供される。本発明は、プロセスチャンバ内の残留フッ素ラジカルを除くことで基板の腐食を軽減することによって、基板処理を有利に改善することができる。
本発明の実施形態は、プロセスチャンバ内に過剰な望ましくないフッ素ラジカルをもたらすプラズマドーピングプロセス、または他の何らかのそのようなプロセス用に構成されたプロセスチャンバのような、任意の適切なプロセスチャンバ内に組み込むことができる。非限定的な実施例として、そのような適切な1のシステムは、Santa Clara、CaliforniaのApplied Materials,Inc.から入手可能なP3i反応装置である。そのような適切な反応装置およびその動作の方法は、本発明の譲受人に譲渡され、参照によって本明細書中に組み込まれている米国特許第7166524号に公表されている。
本発明のいくつかの実施形態による基板を修正および処理するのに適したシステムの一実施例を、トロイダル源プラズマ浸漬型イオン注入装置100を図示する図1に関連して下記に説明する。図1を参照すると、トロイダル源プラズマ浸漬型イオン注入装置100は、円柱状側壁104および円盤形状をした天井106によって画定される円柱状プロセスチャンバ102を有する。プロセスチャンバの床面の位置にある基板支持部108は、処理される基板110を支持する。天井106上のガス分配プレートまたはシャワーヘッド112は、ガス分配パネル116からそのガスマニホールド114内にプロセスガスを受け取り、ガス分配パネルのガス出力は、1または複数の個々のガス供給部118からのガスのうちの任意の1つまたは混合物とすることができる。真空ポンプ120は、基板支持部108と側壁104との間に画定されたポンピングアニュラス122に連結される。処理領域124が、基板110とガス分配プレート112との間に画定される。
本発明によって、円柱状側壁104の内表面156をシリコン含有コーティング154でコーティングすることができる。いくつかの実施形態では、シリコン含有コーティングは、チャンバ本体の内表面156(例えば、内部容積を画定するチャンバ本体の表面)を実質的に覆う。いくつかの実施形態では、下記に説明するように、シリコン含有コーティング154を、プラズマドーピングプロセス中に、またはこのようなプロセスの直前に堆積することができる。シリコン含有コーティング154は、1または複数の層を含むことができ、完全にシリコンであるか、基本的にシリコン(例えば、約95パーセント以上のシリコン)から構成されるか、またはシリコンリッチ(例えば、約35パーセント原子以上のシリコン)である(例えば、プロセスチャンバの室内に面し露出した)外側表面または部分を有する。(従来の酸化シリコンコーティングと比較して)約35パーセント以上のシリコンであるコーティングを設けることは、フッ素除去の促進を容易にすることができ、これによって処理中に下にあるシリコンデバイス構造の腐食を減少させることができる。
いくつかの実施形態では、図2Aに図示したように、シリコン含有コーティング154は、シリコンからなるか、基本的にシリコンからなるか、またはシリコンリッチの組成を有する単一層とすることができる。例えば、シリコン含有コーティング154は、約35パーセント以上のシリコン組成を有することができる。
いくつかの実施形態では、図2Bに図示したように、シリコン含有コーティング154は、シリコンか、基本的にシリコンか、または上に論じたような(例えば、約35パーセント以上のシリコン組成を有する)シリコンリッチである外側表面204または部分と、シリコンおよび酸素(例えば、SiO)を含む内側表面202または部分とを有する単一層とすることができる。内側表面202は、円柱状側壁104の内表面156(または、例えば、図2Cに関連して下記に論じるような、円柱状側壁104の内表面156上に形成した層)に隣接して配置する。外側表面204に向かってコーティング全体にわたって酸素濃度を徐々に減少させることにより、約35パーセント以上のシリコン組成を有する反対側の外側表面204が得られる。酸化シリコン(SiO)であるシリコン含有コーティング154の内側表面を設けることにより、円柱状側壁104の内表面156へのシリコン含有コーティング154の付着力を容易に増大させることができ、これによって、処理中の微粒子形成が低減される。シリコン含有層154内のシリコンの濃度の変化率は、直線的、曲線的、連続的、不連続的、またはこれらの組み合わせとすることができる。
いくつかの実施形態では、図2Cに図示したように、シリコン含有コーティング154は、円柱状側壁104の内表面156上に配置されたシリコンおよび酸素からなる第1の層206と、第1の層の最上部に配置されたシリコンの第2の層208とを含む2層以上の層とすることができる。シリコンからなる第2の層208は、単一層のシリコン含有コーティング154に関して上に論じた実施形態のいずれか(例えば、段階的な組成、純粋なシリコン組成、シリコンリッチ組成、基本的にシリコン組成、または一般に約35パーセント以上のシリコン組成)とすることができる。いくつかの実施形態では、シリコン含有コーティング154は、(層210により破線で図示されたように)3層以上の層を含むことができ、交互の層は、シリコンおよび酸素の層とシリコンの層とを含み、少なくとも最外層(例えば、処理領域124に露出した層)が、単一層のシリコン含有コーティング154に関して上に論じた実施形態のうちのいずれかである。
上に論じた実施形態のうちのいずれかを含むいくつかの実施形態では、少なくとも最も外側のシリコン含有層は、少なくとも1つのドーパントを含有することができる。このようなドーパントは、ホウ素(B)、ヒ素(As)、リン(P)、ゲルマニウム(Ge)、炭素(C)、窒素(N)、またはその他を含むことができる。シリコン含有コーティング154の最外表面(または部分)へのドーパントの添加は、処理中のフッ素の除去をさらに容易にすることができる。いくつかの実施形態では、シリコン含有コーティング154中に与えられたドーパントを、下記により詳細に論じるように、処理中にフッ素前駆物質ガス中で利用する同じ元素とすることができる。
再度図1を参照する。1対の外部凹形導管126、128が、処理領域124を通過するプラズマ電流用の凹形トロイダル経路を作っており、トロイダル経路が処理領域124内で交差している。導管126、128の各々は、プロセスチャンバの両側に連結された1対の端部130を有する。各導管126、128は中空の導電性管である。各導管126、128は、導管の2つの端部間の閉ループ導電性経路の形成を防止するDC絶縁リング132を有している。
各導管126、128の環状部分は、環状磁気コア134によって囲まれる。コア134を囲む励起コイル136は、インピーダンス整合デバイス140を介してRF電源138に接続される。環状磁気コア134のうちのそれぞれ1つに接続された2つのRF電源138は、2つのわずかに異なる周波数のものとすることができる。RF電源138から接続されたRF電力は、それぞれの導管126、128を通り処理領域124を通って延びる閉トロイダル経路内に、プラズマイオン電流を生成する。これらのイオン電流は、それぞれのRF電源138の周波数で振動する。電力は、インピーダンス整合回路144またはDC電源150を介して、RFバイアス発電装置142によって基板支持部108に印加される。
プラズマ形成と、それに続く基板処理は、ガス分配プレート112を介してプロセスチャンバ324中へとあるプロセスガスまたはプロセスガスの混合物を導入し、導管内および処理領域124内にトロイダルプラズマ電流を作り出すために十分な電源電力を発電装置138から凹形導管126、128に与えることによって実行される。RFバイアス発電装置142によって印加される基板バイアス電圧によって、基板表面近くのプラズマフラックスが決定される。RF電源発電装置138によって与えられるRF電力のレベルによって制御されるプラズマ密度によって、プラズマ速度またはフラックス(毎秒1平方cm当たりの基板表面に接触するイオンの数)が決定される。基板110の位置での累積イオンドーズ量(イオン/平方cm)は、フラックスおよびフラックスを維持する全時間の両者によって決定される。
基板支持部108が静電チャックである場合には、基板支持部の絶縁プレート148内部に埋込み電極146を設け、埋込み電極146を、インピーダンス整合回路144またはDC電源150を介してRFバイアス発電装置142に接続する。
動作中には、基板110を処理するために、反応装置100内部のプロセスガスからプラズマを発生させることができる。上に説明したように導管126、128内におよび処理領域124内にプラズマイオン電流を作り出すために、発電装置138から凹形導管126、128に十分な電源電力を与えることによって、処理領域124内にプラズマが形成される。いくつかの実施形態では、基板表面へのイオンのフラックスを制御するために、RFバイアス発電装置142によって送達される基板バイアス電圧を調節することができる。いくつかの実施形態では、バイアス電力を与えない。
図3は、本発明のいくつかの実施形態によって基板を処理するための方法を図示する。図3の方法は、図1に説明した装置を参照して理解することができる。方法300は、一般に、302において、シリコン系コーティング154をプロセスチャンバの内表面156上に形成することにより開始される。シリコン系コーティング154は、上に説明した実施形態のうちのいずれかとすることができ、様々な方法で形成することができる。
例えば、図4に図示したように、シリコン系コーティングを形成するための方法400が提供され、この方法は、402において、シリコン含有ガスを含む第1のプロセスガスをプロセスチャンバ102に供給することにより開始される。いくつかの実施形態では、シリコン含有ガスは、シラン(SiH)を含むことができる。いくつかの実施形態では、ガス流を強めプラズマ着火を容易にするために、第1のプロセスガスは、アルゴン、ヘリウム、またはその他の不活性ガスをさらに含むことができる。第1のプロセスガスは、約10から約500sccmの流量でチャンバ102に供給することができる。
次いで、404では、シリコン含有コーティング154を、プロセスチャンバ102の内表面156上に形成する。シリコン含有コーティングは、402において、プロセスチャンバ102の内表面156上に供給されるシリコン含有ガスの化学気相堆積によって形成することができる。いくつかの実施形態では、例えば、シリコン含有ガスを供給しながら、プロセスチャンバ102を、約5〜約300mTorrの間の圧力で摂氏約0〜約65度の間の温度に維持することができる。いくつかの実施形態では、プロセスガスからのプラズマの着火を容易にするために、およびコーティングの緻密化のために、RF電力を与えることができる。例えば、約200から約1000Wの間のRF電源電力および、任意選択で、約500WまでのRFバイアス電力を与えることができる。このプロセスによって形成されるシリコン含有コーティング154は、少なくとも約35パーセントシリコンの組成を有することができる。約500オングストロームから約10μmの間の厚さにシリコン含有コーティング154を堆積させるために十分な時間にわたって、第1のプロセスガスを供給することができ、堆積プロセスを継続することができる。
いくつかの実施形態では、図5に図示したように、シリコン含有コーティング154が、シリコンおよび酸素を含む第1の層(または部分)と、第1の層よりも高いシリコン組成を有する第2の層(または部分)とを含む、シリコン系コーティングを形成するための方法500が提供される。方法500は、一般に、502において、シリコン含有ガスと酸素含有ガスとを含む第1のプロセスガスをプロセスチャンバ102に供給することにより開始される。シリコン含有ガスは、図4に関連して上に論じたガスのうちのいずれかとすることができる。例えば、適切な酸素含有ガスは酸素(O)である。いくつかの実施形態では、シリコン含有ガスはシラン(SiH)を含むことができ、酸素含有ガスは酸素(O)を含むことができる。第1のプロセスガスは、約10から約500sccmの間の全流量でチャンバ102に供給することができる。シリコン含有ガスが酸素含有ガスとは異なる実施形態では、シリコン含有ガスと酸素含有ガスとは、約10:1から約1:10の間のシリコン含有ガス対酸素含有ガスの流量比で供給することができる。いくつかの実施形態では、シリコン含有ガスおよび酸素含有ガスの各々の流量を、約30から約300sccmの間とすることができる。
次に、504において、シリコンおよび酸素を含むシリコン含有コーティング154の第1の部分を、プロセスチャンバ102の内表面156上に形成する。第1の部分は、502において、プロセスチャンバ102の内表面156上に供給されるシリコン含有ガスの化学気相堆積によって形成することができる。いくつかの実施形態では、例えば、第1のプロセスガスを供給しながら、プロセスチャンバ102を、約5〜約300mTorrの間の圧力で摂氏約0〜約65度の間の温度に維持することができる。いくつかの実施形態では、プロセスガスからのプラズマの着火を容易にするために、およびSiコーティングの緻密化のために、RF電力を与えることができる。例えば、約200から約1000Wの間のRF電源電力と、任意選択で、約500WまでのRFバイアス電力を与えることができる。このプロセスによって形成したシリコン含有コーティング154の第1の部分は、酸化シリコン(SiO)の組成を有することができる。シリコンおよび酸素を含むシリコン含有コーティング154の第1の部分または層を約500オングストロームから約10μmの間の厚さに堆積させるために、十分な時間にわたり、第1のプロセスガスを供給することができ、堆積プロセスを継続することができる。
次に、506では、プロセスチャンバ102への酸素含有ガスの流れを減少させることができる(酸素含有ガスの流れを終わらせることによることを含む)。いくつかの実施形態では、第1のプロセスガス中のシリコン含有ガスの流れを維持したままで、酸素含有ガスの流れを減少させることができる。いくつかの実施形態では、第1のプロセスガスの流れを停止することができ、(上に論じたものと同様な)シリコン含有ガスを含む第2のプロセスガスを、プロセスチャンバ102へ供給することができる。第2のプロセスガス中のシリコン含有ガスは、第1のプロセスガス中のシリコン含有ガスと同じことも異なることもある。いくつかの実施形態では、第2のプロセスガス中のシリコン含有ガスは、第1のプロセスガス中のシリコン含有ガスと同じである。
酸素含有ガスの流れは、(所望の減少率でなど)徐々にまたは周期的に減少させることができ、結果として酸素含有ガスの流れを完全に終結させることがある。いくつかの実施形態では、シリコン含有ガス対酸素含有ガスの流量比を、約3:2と約6:1の間の初期流量比から、約10:1からほぼ純シリコン含有ガスの間の終了流量比へと減少させることができる。いくつかの実施形態では、シリコン含有ガス対酸素含有ガスについての(実際のsccmでの)初期流量比を、約300:200と約300:50の間から、約300:30から約300:0の間の終了流量比までとすることができる。
次に、508では、シリコン系コーティング(例えば、外側部分204または第2の層208)を、例えば、上に論じたものと同じ温度および圧力条件で化学気相堆積によってシリコンおよび酸素系コーティング(例えば、内側部分202または第1の層206)の最上部に形成することができる。酸素含有ガスの流量の減少により、シリコン含有コーティング154のシリコン含有量が容易に増加し、その結果、少なくとも約35パーセントシリコンの組成を有するシリコン含有コーティング154の第2の部分(または層)を堆積させることができる。シリコンを含むシリコン含有コーティング154の第2の部分または層を約500オングストロームから約10μmの間の厚さに堆積させるために十分な時間にわたり、第2のプロセスガスを供給することができ、堆積プロセスを継続することができる。
いくつかの実施形態では、シリコンおよび酸素を含む第1の部分と、さらに高いシリコン濃度を有する第2の部分とが、シリコン含有コーティング154を一緒に形成する(例えば、図2B参照)。いくつかの実施形態では、シリコンおよび酸素を含む第1の層と、さらに高いシリコン濃度を有する第2の層とが、シリコン含有コーティング154を一緒に形成する(例えば、図2C参照)。いくつかの実施形態では、シリコン含有コーティング154を形成する任意の所望の量の交互層を形成するために、上記のプロセスを要望通りに繰り返すことができる。例えば、シリコン含有コーティング154は、第1の層(または部分)と第2の層(または部分)との間に配置することが可能な1または複数の中間層(または部分)を含むことができる。いくつかの実施形態では、シリコンを含む第3の層を、シリコンおよび酸素を含む第1の層の最上部(例えば、第1の層と第2の層との間)に配置することができ、シリコンおよび酸素を含む第4の層を、第3の層の最上部(例えば、第3の層と第2の層との間)に配置することができる。このようなシリコン含有コーティング154は、プロセスチャンバの内表面上に配置されたシリコンおよび酸素を含む第1の層、第1の層の最上部に配置されたシリコンを含む第3の層、第3の層の最上部に配置されたシリコンおよび酸素を含む第4の層、ならびに第4の層の最上部に配置された少なくとも約35パーセントのシリコンを含む第2の層を含む。上記の層(例えば、第1の層、第2の層、第3の層、第4の層)を形成することができ、本明細書において論じた同様の層のように任意の組成を有することができる。
上に論じたいずれかの実施形態などのいくつかの実施形態では、第1のプロセスガスまたは第2のプロセスガスは、ホウ素(B)、ヒ素(As)、リン(P)、ゲルマニウム(Ge)、炭素(C)、窒素(N)、またはその他のドーパントを与えるためにドーパント含有ガスをやはり含むことができる。ドーパント含有ガスは、シリコン含有ガスと同じでも異なってもよい。適切なドーパント含有ガスの例は、BF、B、AsH、PH、PF、GeH、CF、またはその他とすることができる。シリコン含有ガスがドーパント含有ガスとは別であるいくつかの実施形態では、シリコン含有ガスおよびドーパント含有ガスは、約10:1から約1:10の間のシリコン含有ガス対ドーパント含有ガスの流量比で供給することができるか、または、いくつかの実施形態では、sccmで、約300:30から約30:300までのシリコン含有ガス対ドーパント含有ガスの流量比で供給することができる。いくつかの実施形態では、この方法によって形成したシリコン含有コーティング154(または、シリコン含有コーティングの少なくとも外側部分もしくは第2の層)は、1または複数の上記のドーパントの少なくとも1パーセントの組成を有することができる。
上に論じたいずれかの実施形態などのいくつかの実施形態では、シリコン含有コーティング154を、プラズマエンハンス型CVDプロセスを用いて形成することができる。上記の化学気相堆積プロセスのうちのいずれかでは、約10mTorrから約100mTorrの間のプロセスチャンバ圧力を維持しながら、プラズマを形成することができる。いくつかの実施形態では、約11から約14MHzの間の周波数で約100から約1500Wの間の電源RF電力を与えることで、プラズマを形成する。
前述のものに加えて、シリコン含有コーティング154を所望の厚さまで堆積させながら、追加のプロセスパラメータを調整することができる。例えば、いくつかの実施形態では、化学気相堆積プロセスを実行する時間の長さを、所定の処理期間でまたは所望の厚さのシリコン含有コーティング154(またはシリコン含有コーティングの一部もしくは層)を堆積した後で、設定することができる。
再度図3を参照する。次に、304では、基板110を処理するためのプラズマ前駆物質として、フッ素含有ガスをプロセスチャンバ102へ供給する。いくつかの実施形態では、フッ素含有ガスは、ホウ素、ヒ素、リン、ゲルマニウム、炭素、窒素、またはその他のドーパントを含有することができる。例えば、いくつかの実施形態では、フッ素含有ガスは、三フッ化ホウ素(BF)、三フッ化リン(PF)、五フッ化リン(PF)、三フッ化ヒ素(AsF)、五フッ化ヒ素(AsF)、またはその他を含むことができる。フッ素含有ガスを、約5から約350sccmの流量でプロセスチャンバ102へ供給することができる。
次に、306では、基板110の処理を容易にするために、プラズマをフッ素含有ガスから形成する。いくつかの実施形態では、約5から約100mTorrの間の圧力でプロセスチャンバ102を維持しながら、プラズマを形成する。いくつかの実施形態では、約40kHzから約14MHzの間の周波数で約100から約3000WのRF電源電力を与えてプラズマを形成する。方法300は、一般に、基板110のプラズマドーピングの終了によって終わり、必要に応じて基板110をさらに処理することができる。
このように、本明細書では、フッ素系プラズマ基板処理のための装置および方法が提供されている。いくつかの実施形態では、チャンバ内に存在する残留フッ素ラジカルを有利に減少させるために、シリコンコーティングまたはシリコンリッチコーティングを有するプロセスチャンバが提供される。本発明は、プロセスチャンバ内の残留フッ素ラジカルを除いて基板の腐食を軽減することによって、基板処理を有利に改善することができる。
上記は本発明の実施形態に向けられているが、本発明の別の実施形態およびさらなる実施形態を、本発明の基本的な範囲から乖離せずに考案することができる。

Claims (11)

  1. 基板を処理するための装置であって、
    内部容積を画定するチャンバ本体を有するプロセスチャンバであり、前記内部容積の内部でフッ素系のプラズマ処理をするように構成されている、前記プロセスチャンバと、
    前記チャンバ本体の内表面上に配置されたシリコン含有コーティングであり、シリコンおよび酸素を含む第1の層と、前記第1の層を覆って配置された第2の層とを備え、前記第2の層が少なくとも35原子パーセントのシリコン(Si)であるシリコン含有コーティングとを備え、
    前記第2の層が、前記第2の層の外側表面近くの前記第2の層中の酸素の第2の濃度よりも高い前記第1の層と前記第2の層との界面近傍の酸素の第1の濃度をさらに含む、装置。
  2. 前記シリコン含有コーティングが、前記内部容積を画定する前記チャンバ本体の表面を実質的に覆っている、請求項1に記載の装置。
  3. 前記シリコン含有コーティングの少なくとも外側部分が基本的にシリコンから成る、請求項1に記載の装置。
  4. 前記シリコン含有コーティングが、
    前記第1の層と前記第2の層との間に配置され、シリコンを含む第3の層と、
    前記第3の層と前記第2の層との間に配置され、シリコンおよび酸素を含む第4の層と
    をさらに備えている、請求項に記載の装置。
  5. 前記第2の層の前記外側表面近くの酸素の前記濃度が実質的にゼロである、請求項に記載の装置。
  6. 前記シリコン含有コーティングが、ホウ素、ヒ素、ゲルマニウム、炭素、窒素、およびリンのうちの少なくとも1つをさらに含む、請求項1ないしのいずれか一項に記載の装置。
  7. プロセスチャンバ内でシリコン含有コーティングを形成するための方法であって、
    前記プロセスチャンバの内部容積へシリコン含有ガスおよび酸素含有ガスを含む第1のプロセスガスを供給することであり、前記プロセスチャンバが前記内部容積の内部でフッ素系のプラズマ処理をするように構成されている、前記供給することと、
    第1の層および第2の層を備えるシリコン含有コーティングを形成することであり、前記第1の層がシリコンおよび酸素を含み、前記第1の層が少なくとも部分的に前記第1のプロセスガスから前記プロセスチャンバの内表面上の少なくとも一部の上に形成され、前記第2の層が前記第1の層を覆って形成され、前記第2の層が少なくとも35原子パーセントのシリコンである、前記形成することと、
    を含む方法。
  8. 前記シリコン含有コーティングが、シリコンを含む第3の層と、シリコンおよび酸素を含む第4の層とをさらに含み、
    前記方法が、
    前記第1の層と前記第2の層との間に前記第3の層を形成することと、
    前記第3の層と前記第2の層との間に前記第4の層を形成することと
    をさらに含む、請求項に記載の方法。
  9. 前記第2の層が酸素をさらに含み、前記第1の層と前記第2の層との界面近傍の前記第2の層中の酸素の第1の濃度が、前記第2の層の外側表面近くの前記第2の層中の酸素の第2の濃度よりも高い、請求項に記載の方法。
  10. 前記プロセスチャンバ内に基板を設置することと、
    前記プロセスチャンバへフッ素含有ガスプラズマ前駆物質を供給することと、
    前記フッ素含有ガスから前記プロセスチャンバ内にプラズマを形成することと、
    前記プラズマを用いて前記基板を処理することと
    をさらに含む、請求項ないしのいずれか一項に記載の方法。
  11. 前記第1のプロセスガスが、ホウ素、ヒ素、ゲルマニウム、炭素、窒素、およびリンのうちの少なくとも1つをさらに含み、かつ前記フッ素含有ガスが、ホウ素、ヒ素、ゲルマニウム、炭素、窒素、およびリンのうちの少なくとも1つをさらに含む、請求項10に記載の方法。
JP2012506092A 2009-04-20 2010-04-12 プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進 Active JP5710591B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17087909P 2009-04-20 2009-04-20
US61/170,879 2009-04-20
US12/758,167 US8642128B2 (en) 2009-04-20 2010-04-12 Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
PCT/US2010/030700 WO2010123707A2 (en) 2009-04-20 2010-04-12 Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
US12/758,167 2010-04-12

Publications (2)

Publication Number Publication Date
JP2012524410A JP2012524410A (ja) 2012-10-11
JP5710591B2 true JP5710591B2 (ja) 2015-04-30

Family

ID=42981316

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012506092A Active JP5710591B2 (ja) 2009-04-20 2010-04-12 プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進

Country Status (7)

Country Link
US (1) US8642128B2 (ja)
EP (1) EP2422359A4 (ja)
JP (1) JP5710591B2 (ja)
KR (1) KR101519036B1 (ja)
CN (1) CN102405511B (ja)
TW (1) TWI502652B (ja)
WO (1) WO2010123707A2 (ja)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5925084B2 (ja) 2012-08-28 2016-05-25 住友重機械イオンテクノロジー株式会社 イオン生成方法およびイオン源
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR101777761B1 (ko) * 2013-10-21 2017-09-13 에이피시스템 주식회사 열처리 장치
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
JP6412573B2 (ja) * 2013-11-26 2018-10-24 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド ワークピースを処理する方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102608048B1 (ko) * 2015-06-05 2023-11-30 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114078679B (zh) * 2020-08-14 2024-01-23 中微半导体设备(上海)股份有限公司 半导体零部件、复合涂层形成方法和等离子体反应装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6134931A (ja) * 1984-07-26 1986-02-19 Canon Inc シリコン膜の製造方法
JP2780419B2 (ja) * 1990-03-05 1998-07-30 松下電器産業株式会社 不純物の導入装置及びその導入方法
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US20030143410A1 (en) * 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
US6217724B1 (en) * 1998-02-11 2001-04-17 Silicon General Corporation Coated platen design for plasma immersion ion implantation
JP3989083B2 (ja) * 1998-03-31 2007-10-10 株式会社アルバック 真空容器
US6623846B2 (en) * 2000-03-06 2003-09-23 Guardian Industries Corp. Low-emissivity glass coatings having a layer of nitrided nichrome and methods of making same
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
JP2005025910A (ja) * 2003-06-13 2005-01-27 Nec Corp 光学的情報記録媒体及びその製造方法
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
KR100855531B1 (ko) * 2004-04-13 2008-09-01 어플라이드 머티어리얼스, 인코포레이티드 전기 도금된 이트륨 함유 코팅을 갖는 프로세스 챔버 요소
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
JP4720266B2 (ja) 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
GB2434369B (en) * 2006-01-20 2010-08-25 P2I Ltd Plasma coated electrical or electronic devices
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7588883B2 (en) * 2006-05-09 2009-09-15 United Microelectronics Corp. Method for forming a gate and etching a conductive layer
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7691755B2 (en) * 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法

Also Published As

Publication number Publication date
KR20120057570A (ko) 2012-06-05
EP2422359A4 (en) 2013-07-03
TW201041052A (en) 2010-11-16
TWI502652B (zh) 2015-10-01
EP2422359A2 (en) 2012-02-29
CN102405511A (zh) 2012-04-04
KR101519036B1 (ko) 2015-05-12
WO2010123707A2 (en) 2010-10-28
WO2010123707A3 (en) 2011-01-13
US20100267224A1 (en) 2010-10-21
US8642128B2 (en) 2014-02-04
CN102405511B (zh) 2014-06-11
JP2012524410A (ja) 2012-10-11

Similar Documents

Publication Publication Date Title
JP5710591B2 (ja) プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
JP6895836B2 (ja) 半導体パターニング用途のためのドープald膜
CN104517892B (zh) 使用复合peald 和pecvd 方法的可变深宽比特征的间隙填充
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
US8329262B2 (en) Dielectric film formation using inert gas excitation
US20130288485A1 (en) Densification for flowable films
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
EP3007205B1 (en) Workpiece processing method
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
WO2008108850A1 (en) Plasma reaction apparatus having pre-seasoned showerheads and methods for manufacturing the same
JP7254171B2 (ja) 薄型膜処理プロセス
JP7299887B2 (ja) 窒化ケイ素膜のドライエッチング速度の低減
TWI821298B (zh) 脈衝電漿沉積蝕刻階梯覆蓋率之改良
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
TW202316509A (zh) 用於控制沉積缺陷的處置
JP2023501588A (ja) 低減された水素堆積方法
KR20230134577A (ko) 도핑된 실리콘 산화물의 열 증착

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130409

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140630

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150304

R150 Certificate of patent or registration of utility model

Ref document number: 5710591

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250