TWI502652B - 使用製程腔室壁上的矽塗層增強清除殘餘的氟自由基之方法 - Google Patents

使用製程腔室壁上的矽塗層增強清除殘餘的氟自由基之方法 Download PDF

Info

Publication number
TWI502652B
TWI502652B TW099112391A TW99112391A TWI502652B TW I502652 B TWI502652 B TW I502652B TW 099112391 A TW099112391 A TW 099112391A TW 99112391 A TW99112391 A TW 99112391A TW I502652 B TWI502652 B TW I502652B
Authority
TW
Taiwan
Prior art keywords
coating
oxygen
ruthenium
gas
process chamber
Prior art date
Application number
TW099112391A
Other languages
English (en)
Other versions
TW201041052A (en
Inventor
Dongwon Choi
Dong Hyung Lee
Tze Poon
Manoj Vellaikal
Peter Porshnev
Majeed Foad
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201041052A publication Critical patent/TW201041052A/zh
Application granted granted Critical
Publication of TWI502652B publication Critical patent/TWI502652B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Description

使用製程腔室壁上的矽塗層增強清除殘餘的氟自由基之方法
本發明之實施例係大致上關於半導體基材處理。
互補式金屬氧化半導體(CMOS)技術係廣泛地被應用在積體電路。一種在CMOS元件製造期間通常使用之處理基材的方法係在電漿摻雜製程中涉及例如氟系電漿前驅物的使用。然而,電漿摻雜製程期間所分解之過量的氟自由基會劇烈地腐蝕下方基材上面的下方CMOS結構,造成了顯著的製程整合問題、元件效能劣化、及諸如此類者。
因此,存在一種改良之方法與設備的需求,其中該方法與設備係用以減少在氟系電漿基材製程中之過量氟自由基。
本文係提供用以處理基材之方法與設備。在一些實施例中,一種用於基材處理之設備係包括:一製程腔室,其具有一腔室主體,該腔室主體界定一內部空間;以及一含矽塗層,其設置在該腔室主體之內表面上,其中該含矽塗層之一外表面為至少35%原子數的矽。該製程腔室可以是用於基材處理之任何適當的製程腔室。這可以包括設以形成電漿的製程腔室。所形成的電漿可以是氟系。
在一些實施例中,一種用以在一製程腔室中形成一含矽塗層之方法係包括:提供一包含含矽氣體之第一製程氣體到該製程腔室之內部空間;以及形成一含矽塗層於該製程腔室之一內表面上,其中該含矽塗層之一外表面為至少35%矽。
在一些實施例中,該含矽塗層係由矽構成或實質上由矽構成。在一些實施例中,該含矽塗層可以包含多個交替的矽與氧層以及多個矽層。在一些實施例中,該塗層可以具有設置在一表面上的矽與氧表面,其中該塗層中氧濃度係漸漸地降低,造成了相對的實質矽或富矽的表面。
本發明之實施例係大致上關於用於氟系電漿基材處理之設備與方法。在一些實施例中,提供一具有矽或富矽塗層之製程腔室,以有利地減少腔室中存在的殘餘氟自由基。在一些實施例中,提供用以於製程腔室之內表面上形成塗層之方法。在一些實施例中,在此提供用於電漿摻雜之方法。本發明可以藉由去除製程腔室中殘餘氟自由基而減少基材腐蝕來有利地改善基材處理。
本發明之實施例可以被用在任何適當的製程腔室,例如用於電漿摻雜製程的製程腔室或會在製程腔室中造成過量不期望之氟自由基之任何其他製程的製程腔室。經由非限制的實例,一個這樣的系統為P3i反應器,其可從美國加州聖克拉拉市(Santa Clara)之應用材料公司(Applied Materials,Inc.)獲得,並且其操作方法係揭露於美國專利案號7,166,524,其係讓渡給本案之受讓人且在此以引置方式併入本文作為參考。
根據本發明一些實施例之適於變更且處理基材之一系統的實例係參照第1圖被描述在下文,該第1圖係繪示一環形源電漿離子沈浸佈植反應器100。參照第1圖,環形源電漿離子沈浸佈植反應器100具有一圓柱形製程腔室102,圓柱形製程腔室102係由一圓柱形側壁104與一碟形室頂106來界定。一位在製程腔室之底板的基材支撐件108係支撐一待處理的基材110。一位在碟形室頂106上的氣體散佈板或噴頭112係在其氣體岐管114中自氣體散佈盤116接收製程氣體,其中該氣體散佈盤116的出口可以是來自一或多個個別氣體供應器118之氣體的任一氣體或其混合物。一真空泵120耦接到一泵送環形物122,該泵送環形物122被界定在基材支撐件108與側壁104之間。一處理區域124被界定在基材110與氣體散佈板112之間。
根據本發明,圓柱形側壁之內表面156能夠以一含矽塗層154來塗覆。在一些實施例中,含矽塗層實質上覆蓋腔室主體之內表面156(例如界定內部空間的腔室主體表面)。在一些實施例中,如以下所述,可以在一電漿摻雜製程期間或在這樣的製程之前沉積該含矽塗層154。含矽塗層154可以包括一或多層,並且具有一外表面或部分(例如其面向且暴露於製程腔室之內部),該外表面或部分為專有的矽、實質上由矽構成(例如約95%或更多原子數的矽)、或為富矽(例如約35%或更多原子數的矽)。提供約35%或更多之矽的塗層(相較於傳統的氧化矽塗層)可以促進增進的氟清除,藉此減少處理期間下方矽元件的腐蝕。
在一些實施例中,如第2A圖所示,含矽塗層154可以是一由矽構成的單層(其實質上由矽構成)或具有一富矽組成。舉例而言,含矽塗層154可以具有約35%或更多之矽組成。
在一些實施例中,如第2B圖所示,含矽塗層154可以是具有一外表面204或部分與一內表面202或部分的單層,其中該外表面204或部分為矽、實質上為矽、或為富矽,如前所述(例如具有約35%或更多之矽組成),該內表面202或部分包含矽與氧(例如SiOx )。內表面202設置在鄰近圓柱形側壁104之內表面156處(或設置在鄰近形成於圓柱形側壁104之內表面156上的一層處,例如如同下文參照第2C圖所討論者)。塗層中氧濃度朝向外表面204漸漸地降低,使得相對的外表面204具有約35%或更多之矽組成。提供氧化矽(SiOx )之含矽塗層154內表面可促進含矽塗層154對圓柱形側壁104之內表面156之增加的附著性,藉此在處理期間提供少量的微粒形成。含矽層154中矽濃度的變化速率可以是線性的、彎曲的、連續的、非連續的、或其組合。
在一些實施例中,並且如第2C圖所繪示者,含矽塗層154可以是兩或多層,其包含矽與氧之一第一層206(其設置在圓柱形側壁104之內表面156上)與矽之一第二層208(其設置在第一層上)。矽之第二層208可以是任何前述涉及單層含矽塗層154的實施例(例如一階梯狀組成、一純矽組成、一富矽組成、一實質上矽組成、或大致上約35%或更多之矽組成)。在一些實施例中,含矽塗層154可以包含超過兩層(如虛線所繪示之層210),其中該些交替層包括多個矽與氧層與多個矽層,以及其中至少最外層(例如暴露於處理區域124的層)為任何前述涉及單層含矽塗層154的實施例。
在一些實施例中,包括前述任何實施例,至少最外含矽層可以包含至少一摻質。這樣的摻質可以包括硼(B)、砷(As)、磷(P)、鍺(Ge)、碳(C)、氮(N)、或諸如此類者。將一摻質添加到含矽塗層154之最外表面(或部分)可以進一步促進處理期間氟的清除。在一些實施例中,含矽塗層154中的摻質可以是和處理期間所使用之氟前驅物氣體相同的元素,下文將詳細地討論。
返回第1圖,一對外部重入導管126、128係建立多個供通過處理區域124之電漿流所用的重入環形路徑,該些環形路徑在處理區域124中相交。各導管126、128具 有耦接到製程腔室之相對側的一對末端。各導管126、128為一中空的導電管。各導管126、128具有一D.C.絕緣環132,該絕緣環132係避免一封閉之迴圈導電路徑在導管之兩末端之間形成。各導管126、128的環狀部分係被一環狀磁芯134所圍繞。一環繞該芯134的激化線圈136係經由一阻抗匹配裝置140耦接到一RF功率源產生器138。耦接到個別環狀磁芯134之兩RF功率源產生器138可以具有兩個稍微不同的頻率。自RF功率源138產生器耦接的RF功率在延伸通過個別導管126、128與通過處理區域124之封閉環形路徑中產生電漿離子流。這些離子流震盪於個別RF功率源產生器138的頻率。功率藉由一RF偏壓功率產生器142經由一阻抗匹配電路144或一DC功率源150被施加到基材支撐件108。
電漿形成與後續的基材處理是藉由將一製程氣體或多個製程氣體的混合物經由氣體散佈板112引入圓柱形製程腔室102與從RF功率源產生器138施加足夠的源功率到重入導管126、128以在導管中且在處理區域124中建立環形電漿流來執行。靠近基材表面的電漿通量是由RF偏壓功率產生器142施加的基材偏電壓來決定。電漿速率或通量(每秒接觸每平方公分基材表面的離子數量)是由電漿密度來決動,其中該電漿密度是由RF功率源產生器138所施加之RF功率的位準來控制。基材110處累積的離子劑量(離子數/平方公分)是同時由通量與通量維持的總時間來決定。
若基材支撐件108為一靜電夾盤,則一埋設電極146被提供在基材支撐件之一絕緣板148內,並且該埋設電極146經由阻抗匹配電路144或DC功率源150耦接到RF偏壓功率產生器142。
在運作時,可以從反應器100內的製程氣體產生一電漿,以處理基材110。電漿是在處理區域124中藉由從RF功率源產生器138施加足夠的源功率到重入導管126、128以在導管126、128中且在處理區域124中建立電漿離子流來形成,如前所述。在一些實施例中,可以調整由RF偏壓功率產生器142輸送的基材偏電壓,以控制到基材表面的離子通量。在一些實施例中,沒有偏壓功率被施加。
第3圖係繪示根據本發明一些實施例之一種用以處理基材的方法。第3圖之方法可以參照第1圖之設備來瞭解。方法300大致上開始於步驟302,其中在步驟302時一矽系塗層154可以被形成在製程腔室之內表面156上。矽系塗層154可以是前述任何實施例,並且能夠以各種方式來形成。
舉例而言,如第4圖所示,提供一種用以形成一矽系塗層之方法400,並且方法400開始於步驟402,其中在步驟402時提供一包括含矽氣體之第一製程氣體到製程腔室102。在一些實施例中,含矽氣體可以包含矽烷(SiH4 )。在一些實施例中,第一製程氣體可以進一步包含一惰氣(諸如氬、氦、或諸如此類者),以增進氣流且促 進電漿引發(ignition)。第一製程氣體能夠以約10sccm至約500sccm之流速被提供到腔室102。
在步驟404,含矽塗層154接著被形成在製程腔室102之內表面156上。含矽塗層可以藉由步驟402提供之含矽氣體在製程腔室102之內表面156上的化學氣相沉積來形成。在一些實施例中,例如,在提供含矽氣體時,製程腔室102可以被維持在約5mTorr至約300mTorr之壓力與約0℃至約65℃之溫度。在一些實施例中,可以提供RF功率,以促進電漿自製程氣體的引發及塗層緻密化。舉例而言,可以提供約200W至約1000W之RF源功率以及選擇性地高達約500W之RF偏壓功率。藉由此製程形成的含矽塗層154可以具有至少約35%矽的組成。第一製程氣體可以被提供且沉積製程可以持續,長達到足以沉積含矽塗層154到約500Å至約10μm之厚度的時段。
在一些實施例中,如第5圖所示,提供一種用以形成矽系塗層之方法500,其中該含矽塗層154包含一第一層(或部分)與一第二層(或部分),其中第一層包含矽與氧,第二層具有比第一層更高的矽組成。方法500大致上開始於步驟502,其中在步驟502時一包含有含矽氣體與含氧氣體之第一製程氣體被提供到製程腔室102。含矽氣體可以是前述參照第4圖之任何氣體。舉例而言,一適當的含氧氣體是氧(O2 )。在一些實施例中,含矽氣體可以包含矽烷(SiH4 ),並且含氧氣體可以包含氧 (O2 )。第一製程氣體能夠以約10sccm至約500sccm之總流速被提供到腔室102。在含矽氣體和含氧氣體不同的實施例中,含矽氣體與含氧氣體能夠以含矽氣體對含氧氣體之流速比例為約10:1至約1:10來提供。在一些實施例中,含矽氣體與含氧氣體之各者的流速可以為約30sccm至約300sccm。
在步驟504,包含矽與氧之含矽塗層154之第一部分接著被形成在製程腔室102之內表面156上。第一部分可以藉由步驟502提供之含矽氣體在製程腔室102之內表面156上的化學氣相沉積來形成。在一些實施例中,例如,在提供第一製程氣體時,製程腔室102可以被維持在約5mTorr至約300mTorr之壓力與約0℃至約65℃之溫度。在一些實施例中,可以提供RF功率,以促進電漿自製程氣體的引發及Si塗層的塗層緻密化。舉例而言,可以提供約200W至約1000W之RF源功率以及選擇性地高達約500W之RF偏壓功率。藉由此製程形成的含矽塗層154之第一部分可以具有氧化矽(SiOx )的組成。第一製程氣體可以被提供且沉積製程可以持續,長達到足以沉積包含矽與氧之含矽塗層154之第一部分或層到約500Å至約10μm之厚度的時段。
其次,在步驟506,可以減少含氧氣體到製程腔室102之流量(包括藉由終止含氧氣體的流動)。在一些實施例中,可以減少含氧氣體之流量,同時維持第一製程氣體中含矽氣體之流量。在一些實施例中,第一製程氣體之 流動可以被停止,並且一包含含矽氣體(類似於前述討論者)之第二製程氣體可以被提供到製程腔室102。第二製程氣體中含矽氣體可以和第一製程氣體中含矽氣體相同或不同。在一些實施例中,第二製程氣體中含矽氣體和第一製程氣體中含矽氣體相同。
含氧氣體之流量的減少可以是漸漸的(諸如以期望的下降速率)或週期性的,並且可以造成含氧氣體之流動的完全終止。在一些實施例中,可以將含矽氣體對含氧氣體之流速比例從約3:2至約6:1之起初流速比例降低到約10:1至約含純矽氣體之最終流速比例。在一些實施例中,含矽氣體對含氧氣體之起初流速比例(以實際的sccm)可以為約300:200至約300:50,並且最終流速比例可以為約300:30至約300:0。
其次,在步驟508,一矽系塗層(例如外表面204或第二層208)可以藉由例如於和前述討論者相同的溫度和壓力條件下的化學氣相沉積被形成在矽與氧系塗層(例如內表面202或第一層206)之上。含氧氣體之流速的降低可促進含矽塗層154之矽含量的增加,從而沉積組成為至少約35%矽之含矽塗層154之一第二部分(或層)。第二製程氣體可以被提供且沉積製程可以持續,長達到足以沉積包含矽之含矽塗層154之第二部分或層到約500Å至約10μm之厚度的時段。
在一些實施例中,包含第一部分與較高濃度矽第二部分的矽與氧係共同形成含矽塗層154(例如參見第2B 圖)。在一些實施例中,包含第一層與較高濃度矽第二層的矽與氧係共同形成含矽塗層154(例如參見第2C圖)。在一些實施例中,前述製程可以依需求被重複,以形成任何期望之交替層的數量以形成含矽塗層154。舉例而言,含矽塗層154可以包括一或多個中間層(或部分),其設置在第一層(或部分)與第二層(或部分)之間。在一些實施例中,一包含矽之第三層可以被設置在包含矽與氧之第一層上(例如介於第一層與第二層之間),並且一包含矽與氧之第四層可以被設置在第三層上(例如介於第三層與第二層之間)。這樣的含矽塗層154將包括一包含矽與氧之第一層(其設置在製程腔室之內表面上)、一包含矽之第三層(其設置在第一層上)、一包含矽與氧之第四層(其設置在第三層上)、以及一包含至少35%矽之第二層(其設置在第四層上)。前述該些層(即第一、第二、第三與第四層)能夠以類似在此討論之層的方式來形成,並且可以具有類似在此討論之層的任何組成。
在一些實施例中,諸如任何前述實施例,第一或第二製程氣體也可以包括一含摻質氣體以用於提供諸如硼(B)、砷(As)、磷(P)、鍺(Ge)、碳(C)、氮(N)等之摻質。含摻質氣體可以和含矽氣體相同或不同。適當的含摻質氣體的實例係包括BF3 、B2 H6 、AsH3 、PH3 、PF3 、GeH4 、CF4 、或諸如此類者。在含矽氣體和含摻質氣體隔開的實施例中,含矽氣體與含摻質氣體能夠以含矽氣體對含摻質氣體之流速比例為約10:1至約1:10(或在一些實施例 中,以sccm,約300:30sccm至約30:300sccm)來提供。在一些實施例中,藉由此方法所形成的含矽塗層154(或至少其一外部或第二層)可以具有至少約1%之一或多種前述摻質的組成。
在一些實施例中,諸如任何前述實施例,含矽塗層154可以經由一電漿增強CVD製程來形成。在任何前述的化學氣相沉積製程中,可以形成一電漿,同時將製程腔室壓力維持在約10mTorr至約100mTorr。在一些實施例中,藉由以約11MHz至約14MHz之頻率來提供約100W至約1500W之源RF功率,電漿係被形成。
除了前述說明,在沉積含矽塗層154到期望的厚度時,可以調控額外的製程參數。舉例而言,在一些實施例中,化學氣相沉積製程所執行的時間量可以被設定於一預定的處理期間,或被設定在沉積了期望的含矽塗層154(或其部分或層)厚度之後。
返回第3圖,其次,在步驟304,一含氟氣體被提供到製程腔室102作為一用於處理基材110之電漿前驅物。在一些實施例中,含氟氣體可以包含諸如諸如硼、砷、磷、鍺、碳、氮等之摻質。舉例而言,在一些實施例中,含氟氣體可以包含三氟化硼(BF3 )、三氟化磷(PF3 )、五氟化磷(PF5 )、三氟化砷(AsF3 )、五氟化砷(AsF5 )等。含氟氣體能夠以約5sccm至約350sccm之流速被提供到製程腔室102。
其次,在步驟306,一電漿自含氟氣體被形成,以促 進基材110的處理。在一些實施例中,形成該電漿,同時將製程腔室102之壓力維持在約5mTorr至約100mTorr。在一些實施例中,藉由以約40kHz至約14MHz之頻率來提供約100W至約3000W之RF源功率,電漿係被形成。一旦完成了基材110的電漿摻雜,方法300大致上停止,並且基材110可以依需求進一步地被處理。
因此,本文已經提供了用於氟系電漿基材處理之設備與方法。在一些實施例中,提供一具有矽或富矽塗層之製程腔室,以有利地減少腔室中存在的殘餘氟自由基。本發明可以藉由去除製程腔室中殘餘氟自由基而減少基材腐蝕來有利地改善基材處理。
儘管前述說明係導向本發明之實施例,可以在不脫離本發明之基本範疇下設想出本發明之其他與進一步實施例。
100‧‧‧反應器
102‧‧‧圓柱形製程腔室
104‧‧‧圓柱形側壁
106‧‧‧碟形室頂
108‧‧‧基材支撐件
110‧‧‧基材
112‧‧‧氣體散佈板/噴頭
114‧‧‧氣體岐管
116‧‧‧氣體散佈盤
118‧‧‧氣體供應器
120‧‧‧真空泵
122‧‧‧泵送環形物
124‧‧‧處理區域
126、128‧‧‧外部重入導管
130‧‧‧末端
132‧‧‧DC絕緣環
134‧‧‧環狀磁芯
136‧‧‧激化線圈
138‧‧‧RF功率源產生器
140‧‧‧阻抗匹配裝置
142‧‧‧偏壓功率產生器
144‧‧‧阻抗匹配電路
146‧‧‧埋設電極
148‧‧‧絕緣板
150‧‧‧DC功率源
154‧‧‧含矽塗層
156‧‧‧內表面
202‧‧‧內表面
204‧‧‧外表面
206‧‧‧第一層
208‧‧‧第二層
210‧‧‧層
300‧‧‧方法
300-306‧‧‧步驟
400‧‧‧方法
402-404‧‧‧步驟
500‧‧‧方法
502-508‧‧‧步驟
可藉由參考本發明之實施例來詳細瞭解本發明之說明,其簡短地在前面概述過,其中該些實施例在附圖中示出。但是應注意的是,附圖僅示出本發明之典型實施例,因此不應視為對其範圍之限制,因為本發明可允許其他等效實施例。
第1圖係繪示根據本發明一些實施例之用以處理半導體基材之設備。
第2A-C圖係繪示根據本發明一些實施例之設置在製程腔室之內表面上之一含矽塗層的實施例。
第3圖係繪示根據本發明一些實施例之用以處理基材之方法。
第4圖係繪示根據本發明一些實施例之用以在製程腔室之內表面上形成一含矽塗層之方法。
第5圖係繪示根據本發明一些實施例之用以在製程腔室之內表面上形成一含矽塗層之方法。
為促進了解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。圖式並未依比例繪製,並且為了清晰起見係被簡化。應瞭解,一實施例的元件與特徵結構可有利地併入其他實施例而不需特別詳述。
100‧‧‧反應器
102‧‧‧圓柱形製程腔室
104‧‧‧圓柱形側壁
106‧‧‧碟形室頂
108‧‧‧基材支撐件
110‧‧‧基材
112‧‧‧噴頭
114‧‧‧氣體岐管
116‧‧‧氣體散佈盤
118‧‧‧氣體供應器
120‧‧‧真空泵
122‧‧‧泵送環形物
124‧‧‧處理區域
126、128‧‧‧外部重入導管
130‧‧‧末端
132‧‧‧DC絕緣環
134‧‧‧環狀磁芯
136‧‧‧激化線圈
138‧‧‧RF功率源產生器
140‧‧‧阻抗匹配裝置
142‧‧‧偏壓功率產生器
144‧‧‧阻抗匹配電路
146‧‧‧埋設電極
148‧‧‧絕緣板
150‧‧‧DC功率源
154‧‧‧含矽塗層
156‧‧‧內表面

Claims (17)

  1. 一種用於基材處理之設備,包含:一製程腔室,其具有一腔室主體,該腔室主體界定一內部空間;以及一含矽與氧之單一塗層,其設置在該腔室主體之一內表面上,其中該塗層具有一靠近該腔室主體之該內表面之內表面與一外表面,該塗層之該外表面為至少35%原子數的矽,及其中氧濃度從該塗層之該內表面降低至該塗層之該外表面。
  2. 如申請專利範圍第1項所述之設備,其中該設備係用於該內部空間中的電漿處理。
  3. 如申請專利範圍第2項所述之設備,其中電漿係沿著一環形路徑流動,並且其中該內部空間形成該環形路徑之一部分。
  4. 如申請專利範圍第1項所述之設備,其中該塗層係實質上覆蓋界定該內部空間之該腔室主體之表面。
  5. 如申請專利範圍第1項所述之設備,其中該塗層之該外表面為至少95%原子數的矽。
  6. 如申請專利範圍第1項所述之設備,其中該塗層之該外表面為實質上完全原子數的矽。
  7. 如申請專利範圍第1項所述之設備,其中靠近該塗層之該外表面處的氧濃度係實質上為零。
  8. 如申請專利範圍第1項所述之設備,其中該塗層更包含硼、砷、鍺、碳、氮、或磷之至少一者。
  9. 一種用以在一製程腔室中形成一塗層之方法,包含:提供一包含含矽氣體與含氧氣體之第一製程氣體到該製程腔室之一內部空間;以及至少部分地自該第一製程氣體形成一含矽與氧之單一塗層於該製程腔室之一內表面上,其中該塗層具有一靠近該腔室主體之該內表面之內表面與一外表面,該塗層之該外表面為至少35%原子數的矽,及其中氧濃度從該塗層之該內表面降低至該塗層之該外表面。
  10. 如申請專利範圍第9項所述之方法,其中該第一製程氣體包含矽烷(SiH4 )。
  11. 如申請專利範圍第9項所述之方法,其中該第一製程氣體更包含硼、砷、鍺、碳、氮、或磷之至少一者。
  12. 如申請專利範圍第9項所述之方法,其中該塗層之該外表面為至少95%原子數的矽。
  13. 如申請專利範圍第9項所述之方法,其中該含氧氣體為氧。
  14. 如申請專利範圍第9項所述之方法,其中該塗層之該外表面為實質上完全原子數的矽。
  15. 如申請專利範圍第9項所述之方法,其中在該第二層之該外表面處的氧濃度係實質上為零。
  16. 如申請專利範圍第9項所述之方法,更包含:將一基材放置在該製程腔室中;提供一含氟氣體電漿前驅物到該製程腔室;自該含氟氣體在該製程腔室中形成一電漿;以及以電漿處理該基材。
  17. 如申請專利範圍第16項所述之方法,其中該含氟氣體更包含硼、砷、鍺、碳、氮、或磷之至少一者。
TW099112391A 2009-04-20 2010-04-20 使用製程腔室壁上的矽塗層增強清除殘餘的氟自由基之方法 TWI502652B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17087909P 2009-04-20 2009-04-20
US12/758,167 US8642128B2 (en) 2009-04-20 2010-04-12 Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls

Publications (2)

Publication Number Publication Date
TW201041052A TW201041052A (en) 2010-11-16
TWI502652B true TWI502652B (zh) 2015-10-01

Family

ID=42981316

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099112391A TWI502652B (zh) 2009-04-20 2010-04-20 使用製程腔室壁上的矽塗層增強清除殘餘的氟自由基之方法

Country Status (7)

Country Link
US (1) US8642128B2 (zh)
EP (1) EP2422359A4 (zh)
JP (1) JP5710591B2 (zh)
KR (1) KR101519036B1 (zh)
CN (1) CN102405511B (zh)
TW (1) TWI502652B (zh)
WO (1) WO2010123707A2 (zh)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010123707A2 (en) * 2009-04-20 2010-10-28 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5925084B2 (ja) * 2012-08-28 2016-05-25 住友重機械イオンテクノロジー株式会社 イオン生成方法およびイオン源
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR101777761B1 (ko) * 2013-10-21 2017-09-13 에이피시스템 주식회사 열처리 장치
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
CN105849869B (zh) * 2013-11-26 2017-08-11 瓦里安半导体设备公司 处理工件的方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102350441B1 (ko) * 2015-06-05 2022-01-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11430654B2 (en) * 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114078679B (zh) * 2020-08-14 2024-01-23 中微半导体设备(上海)股份有限公司 半导体零部件、复合涂层形成方法和等离子体反应装置
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
TW200534336A (en) * 2004-04-13 2005-10-16 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
TW200731393A (en) * 2005-11-17 2007-08-16 Applied Materials Inc Chamber components with polymer coatings and methods of manufacture
TW200733828A (en) * 2006-01-20 2007-09-01 P2I Ltd Novel products
US20070243693A1 (en) * 2006-04-17 2007-10-18 Applied Materials, Inc. Integrated process modulation (ipm) a novel solution for gapfill with hdp-cvd
TW200839924A (en) * 2007-01-15 2008-10-01 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method and storage medium
TW200914394A (en) * 2007-08-02 2009-04-01 Applied Materials Inc Method of coating semiconductor processing apparatus with protective yttrium-containing coatings

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6134931A (ja) * 1984-07-26 1986-02-19 Canon Inc シリコン膜の製造方法
JP2780419B2 (ja) * 1990-03-05 1998-07-30 松下電器産業株式会社 不純物の導入装置及びその導入方法
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US20030143410A1 (en) * 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6217724B1 (en) * 1998-02-11 2001-04-17 Silicon General Corporation Coated platen design for plasma immersion ion implantation
JP3989083B2 (ja) * 1998-03-31 2007-10-10 株式会社アルバック 真空容器
AU2001243417A1 (en) * 2000-03-06 2001-09-17 Guardian Industries, Inc. Low-emissivity glass coatings having a layer of nitrided nichrome and methods ofmaking same
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
JP2005025910A (ja) * 2003-06-13 2005-01-27 Nec Corp 光学的情報記録媒体及びその製造方法
KR100557673B1 (ko) * 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
JP4720266B2 (ja) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7588883B2 (en) * 2006-05-09 2009-09-15 United Microelectronics Corp. Method for forming a gate and etching a conductive layer
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7691755B2 (en) * 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
WO2010123707A2 (en) * 2009-04-20 2010-10-28 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
TW200534336A (en) * 2004-04-13 2005-10-16 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
TW200731393A (en) * 2005-11-17 2007-08-16 Applied Materials Inc Chamber components with polymer coatings and methods of manufacture
TW200733828A (en) * 2006-01-20 2007-09-01 P2I Ltd Novel products
US20070243693A1 (en) * 2006-04-17 2007-10-18 Applied Materials, Inc. Integrated process modulation (ipm) a novel solution for gapfill with hdp-cvd
TW200839924A (en) * 2007-01-15 2008-10-01 Tokyo Electron Ltd Plasma processing apparatus, plasma processing method and storage medium
TW200914394A (en) * 2007-08-02 2009-04-01 Applied Materials Inc Method of coating semiconductor processing apparatus with protective yttrium-containing coatings

Also Published As

Publication number Publication date
US20100267224A1 (en) 2010-10-21
US8642128B2 (en) 2014-02-04
CN102405511A (zh) 2012-04-04
WO2010123707A3 (en) 2011-01-13
KR20120057570A (ko) 2012-06-05
EP2422359A4 (en) 2013-07-03
JP5710591B2 (ja) 2015-04-30
WO2010123707A2 (en) 2010-10-28
JP2012524410A (ja) 2012-10-11
TW201041052A (en) 2010-11-16
CN102405511B (zh) 2014-06-11
KR101519036B1 (ko) 2015-05-12
EP2422359A2 (en) 2012-02-29

Similar Documents

Publication Publication Date Title
TWI502652B (zh) 使用製程腔室壁上的矽塗層增強清除殘餘的氟自由基之方法
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8563445B2 (en) Conformal layers by radical-component CVD
US8647992B2 (en) Flowable dielectric using oxide liner
US8889566B2 (en) Low cost flowable dielectric films
US8329262B2 (en) Dielectric film formation using inert gas excitation
US8445078B2 (en) Low temperature silicon oxide conversion
US8466073B2 (en) Capping layer for reduced outgassing
US20130288485A1 (en) Densification for flowable films
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US20130084711A1 (en) Remote plasma burn-in
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
JP2023501588A (ja) 低減された水素堆積方法
TW202132897A (zh) 高硼含量硬遮罩材料

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees