JP6895836B2 - 半導体パターニング用途のためのドープald膜 - Google Patents

半導体パターニング用途のためのドープald膜 Download PDF

Info

Publication number
JP6895836B2
JP6895836B2 JP2017143195A JP2017143195A JP6895836B2 JP 6895836 B2 JP6895836 B2 JP 6895836B2 JP 2017143195 A JP2017143195 A JP 2017143195A JP 2017143195 A JP2017143195 A JP 2017143195A JP 6895836 B2 JP6895836 B2 JP 6895836B2
Authority
JP
Japan
Prior art keywords
substrate
spacer
core material
dope
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017143195A
Other languages
English (en)
Other versions
JP2018061007A (ja
Inventor
シャンカー・スワミナタン
リチャード・フィリップス
アドリエン・ラボワ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018061007A publication Critical patent/JP2018061007A/ja
Application granted granted Critical
Publication of JP6895836B2 publication Critical patent/JP6895836B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

高度な集積回路の製作は、多くの場合、半導体の大量生産において小型特徴のパターニングを行うことを伴う。マルチパターニング技術が、193nm液浸リソグラフィなどのリソグラフィ技術に基づく特徴サイズのスケーリングを可能にしえる。マルチパターニング技術の一例が、自己整合ダブルパターニングである。
本書で提供されるのは、半導体基板を処理する方法及び装置である。一態様は、ポジ型パターニングを使用して基板のパターニングを行う方法を伴うものであり、該方法は、パターニングされたコア材料(以下、「パターンコア材料」と呼ぶ)を有する基板を提供し、該コア材料の上に、ドーピングされたスペーサ(以下、「ドープスペーサ」と呼ぶ)を共形的に(下の形に沿うように)堆積させ、コア材料のエッチング速度がドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度でコア材料をスペーサに対して選択的にエッチングして、マスクを形成し、該マスクを使用して、ターゲット層をエッチングする、ことを含む。
ドープスペーサの堆積は、1枚以上の酸化シリコン層を堆積させ、1枚以上のドーパント酸化物層を堆積させる、ことを含んでいてよく、ドーパントは、ホウ素、ガリウム、リン、アルミニウム、及びヒ素のうちの任意の1つである。一部の実施形態では、ドープスペーサを堆積させた後で、かつコア材料を選択的にエッチングする前に、基板は、約400℃未満の温度でアニーリングを施される。
ドープスペーサの堆積は、基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入に基板を暴露し、基板の表面を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入に基板を暴露して、シリコン含有前駆体とドーパントとで部分的に飽和された表面を基板の表面上に形成し、酸化剤に基板を暴露して、共形のドープ酸化シリコン材料を形成する、ことを含んでいてよい。一部の実施形態では、酸化剤は、酸素、二酸化炭素、水、亜酸化窒素、及びこれらの組み合わせのうちの任意の1つである。酸化剤への基板の暴露は、アルゴン、窒素、及びヘリウムからなる群より選択される不活性ガスを流すことを含んでいてよい。一部の実施形態では、基板を収容しているプロセスチャンバが、(i)の実施と(ii)の実施との間でパージされる。一部の実施形態では、酸化剤への基板の暴露は、酸化剤を導入し、プラズマを点火させる、ことを含む。
コア材料は、フッ化炭素ガスに基板を暴露し、プラズマを点火させる、ことによってエッチングされてよい。フッ化炭素ガスは、CF4、CHF3、CH22、及びCH3Fのうちの任意であってよい。
一部の実施形態では、形成されるマスクは、約50nm未満のピッチを有する。
ドープスペーサは、約50℃から約200℃の間の基板温度で堆積されてよい。
一部の実施形態では、ドープスペーサは、1枚以上の酸化ゲルマニウム層を堆積させることによって堆積されるゲルマニウム含有材料を含み、ドープスペーサは、リン又はアルゴンでドーピングされる。
ドープスペーサは、50Åから300Åの間の厚さに堆積されてよい。一部の実施形態では、コア材料は、炭素を含む。
ドープスペーサは、約1×1020原子/ccから約2×1022原子/cc間のドーパント密度を有してよい。
ドーパントは、ホウ素であってよく、1枚以上のドーパント酸化物層の堆積は、TMB(トリメチルホウ酸塩)、TEB(トリエチルホウ酸塩)、B26(ジボラン)、トリメチルボラン、トリエチルボラン、及びこれらの組み合わせのうちの任意の1つなどのドーパント前駆体に基板を暴露することを含んでいてよい。
ドーパントは、リンであってよく、1枚以上のドーパント酸化物層の堆積は、リン酸トリエチル(TEPO)(PO(OC253)、リン酸トリメチル(TMPO)(PO(OCH33)、亜リン酸トリメチル(TMPi)(P(OCH33)、トリスジメチルアミノリン(TDMAP)(((CH32N)3P)、三塩化リン(PCl3)、トリスメチルシルキルホスフィン(TMSP)(P(Si(CH333)、及びオキシ塩化リン(POCl3)のうちの任意の1つなどのドーパント前駆体に基板を暴露することを含んでいてよい。
別の態様は、基板のパターニングを行うための装置を伴うものであり、該装置は、1つ以上のプロセスチャンバと、該1つ以上のプロセスチャンバへの及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、低周波数無線周波(LF・RF)発生器と、高周波数無線周波(HF・RF)発生器と、少なくとも1つのプロセッサ、及びメモリを有するコントローラと、を含み、少なくとも1つのプロセッサ、及びメモリは、互いに通信可能式に接続され、少なくとも1つのプロセッサは、流量制御ハードウェア、LF・RF発生器、及びHF・RF発生器に少なくとも動作可能式に接続され、メモリは、コア材料の上に、1枚以上の酸化シリコン層を堆積させるとともに、酸化ホウ素、酸化ガリウム、酸化リン、酸化アルミニウム、及び酸化ヒ素とからなる群より選択される1枚以上のドーパント酸化物層を堆積させて、ドープスペーサ材料を形成するように、並びにコア材料のエッチング速度がドープスペーサ材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度でドープスペーサ材料に対してコア材料を選択的にエッチングして、マスクを形成するように、並びにマスクを使用してターゲット層をエッチングするように、少なくとも1つのプロセッサを制御して、流量制御ハードウェア、HF・RF発生器、及びLF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する。
別の態様は、基板のパターニングを行うための装置を伴うものであり、該装置は、1つ以上のプロセスチャンバと、該1つ以上のプロセスチャンバへの及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、低周波数無線周波(LF・RF)発生器と、高周波数無線周波(HF・RF)発生器と、少なくとも1つのプロセッサ、及びメモリを有するコントローラと、を含み、少なくとも1つのプロセッサ、及びメモリは、互いに通信可能式に接続され、少なくとも1つのプロセッサは、流量制御ハードウェア、LF・RF発生器、及びHF・RF発生器に少なくとも動作可能式に接続され、メモリは、基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入を導入し、基板の表面を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入を導入して、シリコン含有前駆体とドーパントとで部分的に飽和された表面を基板の表面上に形成し、酸化剤を導入して、ドープスペーサ材料を形成する、ことによって、コア材料の上にドープスペーサ材料を堆積させるように、並びにコア材料のエッチング速度がドープスペーサ材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度でドープスペーサ材料に対してコア材料を選択的にエッチングして、マスクを形成するように、並びに該マスクを使用して、ターゲット層をエッチングするように、少なくとも1つのプロセッサを制御して、流量制御ハードウェア、HF RH発生器、及びLF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する。
これらの及びその他の態様が、図を参照にして以下で更に説明される。
ポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 ポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 ポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 ポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 ポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 ポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。
開示される特定の実施形態にしたがったパターニング方式のための動作を示したプロセスフローチャートである。
開示される特定の実施形態にしたがった方法のための動作を示したプロセスフローチャートである。 開示される特定の実施形態にしたがった方法のための動作を示したプロセスフローチャートである。
開示される特定の実施形態にしたがったポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 開示される特定の実施形態にしたがったポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 開示される特定の実施形態にしたがったポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 開示される特定の実施形態にしたがったポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 開示される特定の実施形態にしたがったポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。 開示される特定の実施形態にしたがったポジ型自己整合ダブルパターニング方式を受ける基板の概略図である。
開示される特定の実施形態を実施するための代表的なプロセスチャンバの説明図である。
開示される特定の実施形態を実施するための代表的なプロセスツールの説明図である。
開示される特定の実施形態を実施するための代表的なプロセスチャンバの説明図である。
開示される特定の実施形態を実施するための代表的なプロセスツールの説明図である。
以下の説明では、提示される実施形態の完全な理解を可能にするために、数々の具体的詳細が特定される。開示される実施形態は、これらの具体的詳細の一部又は全部を伴わずとも実施されえる。また、開示される実施形態を不必要に不明瞭にしないために、周知のプロセス動作は、詳細に説明されていない。開示される実施形態は、具体的な実施形態に関連付けて説明されるが、これは、開示される実施形態を制限することを意図していないことが理解される。
以下で開示される実装形態は、ウエハ、基板、又はその他の被加工物などの基板上に材料を堆積させることを説明する。被加工物は、形状、サイズ、及び材料が様々であってよい。本出願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、及び「部分的に製作された集積回路」という用語が、互いに区別なく使用される。当業者ならば、「部分的に製作された集積回路」という用語が、集積回路を上に製作するための多くの段階のうちの任意の段階時におけるシリコンウエハを言えることが理解できるだろう。
多くの半導体生産プロセスでは、パターニング方法が使用される。具体的には、リソグラフィ技術をその光学的限界を超えて拡張するために、ダブルパターニングが使用されてきた。ダブルパターニングは、リソグラフィ技術をその光学的限界を超えて拡張するための代表的な技術の1つであり、今や、約80nm未満のあらゆるピッチのために広く業界で使用されている。現行のダブルパターニング技術は、多くの場合、トレンチをパターニングするために、側壁スペーサを使用して2回のマスキング段階を行う。ポジ型ダブルパターニングプロセスであれ、ネガ型ダブルパターニングプロセスであれ、ダブルパターニングの方法は、特にラインパターニングでは、スペーサ及びマスクの使用を伴っていた。例えば、図1A〜1Fでは、ポジ型ダブルパターニング方式の場合の基板100が示されている。しかしながら、図1A〜1Fでは、コア材料とスペーサ材料との間のエッチング選択性が乏しいゆえに、マスクが不良で、不安定で、かつ弱く、ターゲット層が上手くエッチングされない結果となる。図2は、ポジ型ダブルパターニング方式全体のプロセスフローチャートを提供している。図1A〜1F及び図2は、あわせて説明される。
図2を参照すると、動作201では、パターンコア材料とターゲット層とを有する基板が提供される。図1Aは、下層101と、ターゲット層102と、パターンコア材料106とを有するこのような基板100の一例を提供している。
図2を参照すると、動作203では、スペーサ材料が、基板の上に共形的に堆積される。図1Bは、コア材料106の上に共形的に堆積されたスペーサ材料104を示している。
図2を参照すると、動作205では、スペーサ材料で作成されたマスクを形成するために、基板はエッチバックされ、コア材料は選択的にエッチングされる。図1Cを参照すると、スペーサ材料114は、エッチバックされて、コア材料116を露出させている。更に、スペーサ材料114は、底部108におけるスペーサ材料114を除去するために、指向的にエッチングされている。図1Dでは、コア材料116は、ドライエッチング化学剤を使用して、スペーサ材料に対して選択的にエッチングされる。しかしながら、従来のスペーサ材料に対するコア材料のエッチング選択性が乏しいゆえに、エッチングは、側壁114sに沿って示されるように、スペーサ材料114を不均等にエッチングする結果となる。図1Dは、後続のエッチングに使用されるマスクとしてのスペーサ材料114を示している。留意すべきは、スペーサ材料114の品質が、スペーサ材料114に対してコア材料116を除去するためのエッチング選択性が乏しいゆえに低下することである。
図2に戻り、動作207では、基板上のターゲット層が、マスクを使用してエッチングされる。図1Eでは、スペーサ材料114は、ターゲット層102をエッチングするためにマスクとして使用され、したがって、ターゲット層112が上手くパターニングされない結果となる。マスクは、下層101を覆う残りのパターンターゲット層112を基板上に残すために、図1Fにおいて除去されてよい。留意すべきは、ターゲット層をパターニングするために使用されたマスクが劣化しており、不安定であり、かつ弱いゆえに、結果として得られるターゲット層112のパターンの低品質になることである。現行の方法は、半導体内に高アスペクト比の特徴を効果的に形成することができない不良で、不安定で、かつ弱いマスクを提供する。
所望されるパターンのためにノードが縮小するのに伴って、ドライエッチング手順を使用してコア材料がエッチングされるときの独立スペーサに更に高い選択性が求められるようになる。コア材料を選択的にエッチングするために、幾つかの技術が用いられてきた。或る技術は、高弾性率酸化チタン材料などの異なるスペーサ材料の使用を伴う。しかしながら、新しいスペーサ材料の使用は、高価だろう新しい堆積ハードウェア及び新しいエッチング化学剤の実装を伴い、したがって、全体生産コストの増加を招く恐れがある。更に、金属酸化物スペーサは、非晶質シリコンなどの異なるコア材料を使用する。非晶質シリコンは、エッチングプロフィールを得るのが難しいので、統合のハードルとなる。したがって、多くの場合、酸化シリコンが、その動作コストの低さ及び処理の容易さゆえにスペーサ材料として使用される。更に、スペーサ材料は、エッチング時に形成される副生成物に応じて選択される。例えば、フッ素含有エッチング化学剤を使用してチタン含有スペーサ材料をエッチングすると、フッ化チタンが形成され、これは、50℃で固形であり、したがって、チャンバ表面から副生成物材料を除去するために、加熱ハードウェアを伴う。反対に、開示される特定の実施形態で使用される、ホウ素ドープスペーサ材料又はリンドープスペーサ材料などのドープスペーサ材料は、揮発性フッ化物を形成することができ、これは、既存の洗浄ハードウェアに適合可能である。
もう1つの技術は、より高い膜密度を実現するために、スペーサ材料を堆積させるための反応中に温度又はプラズマエネルギを調整し、それによって、ドライエッチング速度を向上させ、スペーサ材料に対するコア材料のエッチング選択性を向上させる技術である。しかしながら、酸化シリコンスペーサ材料を形成するために高プラズマ又は高温を使用すると、敏感なコア材料の損傷を招く恐れがある。例えば、高い温度は、コア−酸化物の境界における粗度を増加させ、高いプラズマエネルギは、プラズマ密度を増加させて、コア材料のアッシングを招く恐れがあり、これは、深刻なパターン崩壊の問題又はラインエッジ粗度の増加につながる。
本書で提供されるのは、コア材料と比べて低いドライエッチング速度を有するドープスペーサ材料によるポジ型パターニング方式を使用して基板をパターニングするための方法及び装置である。方法は、クアッドパターニング方式の場合にダブルパターニングにおけるスペーサ材料として酸化シリコン膜及び/又は酸化ゲルマニウム膜をドーピングすることを伴う。ドーパントの例として、ホウ素、ガリウム、リン、ヒ素、アルミニウム、及びハフニウムが挙げられる。方法は、また、ALDによってDxy/SiO2のナノラミネートを形成することを伴い、ここで、Dは、ホウ素、ガリウム、リン、ヒ素、アルミニウム、及びハフニウムのうちの任意の1つのドーパント又は任意を組み合わせたドーパントである。Dxyにおけるx及びyの値は、使用されるドーパントDに依存する。例えば、一部の実施形態では、酸化ホウ素について、B23におけるxが2、yが3であってよい。一部の実施形態では、アルミン酸塩又はアルミニウムをドープされたケイ酸塩が形成されるように、アルミニウムなどの金属ドーパントが使用されてよい。アルミン酸塩又はアルミニウムをドープされたケイ酸塩は、炭素含有コア材料とともに使用されてよい(のに対し、上述のように、酸化チタンスペーサ材料は、非晶質シリコンコア材料に適合可能である)。様々な実施形態において、非チタン金属ドーパントが使用されてよい。総じて、x:yの比率は、1:1、1:2、1:3、2:3、及び3:4のうちの任意であってよい。「Dxy/SiO2」のナノラミネートは、Dxy及びSiO2の両方を含む材料を構成することが理解される。一部の実施形態は、ドーパントの濃度を増加させるために、膜の質を高めるために、膜の密度を増加させるために、及び/又はドーパントがスペーサ内で更に均等に分布されることを可能にしてそれによって均質なドープスペーサ材料を形成するために、ドープスペーサ材料の堆積後に基板のアニーリングを行うことを伴ってよい。開示される実施形態は、従来の方式で堆積された、炭素含有コア材料を覆うスペーサ材料として使用される酸化シリコン膜と比べて、少なくとも約15%から20%にわたってエッチング選択性を向上させる。例えば、開示される各種の実施形態を使用して堆積されたドープスペーサ材料に対するコア材料のエッチング選択性は、少なくとも約5:1であってよい。
ドープスペーサは、スペーサ材料内へ打ち込まれたドーパント、並びに交互するドーパント酸化物材料層及びスペーサ酸化物材料層を含むだろうことが理解される。更に、スペーサ材料内へ打ち込まれるドーパントは、開示される様々な実施形態にしたがってドーパント酸化物の層を堆積させるために及び/又は基板上への亜飽和投入として吸着させるために使用されるドーパント前駆体又はドーパント源に化学的に関係した種であってよいことが理解される。例えば、ドーパント前駆体は、リン含有化合物であってよく、ドーパント自体は、リン含有化合物内のリン原子であってよい。
図3及び図4は、開示される特定の実施形態にしたがった方法を実施するためのプロセスフローチャートを提供している。図3は、スペーサ材料層とドーパント酸化物材料層とを交互に堆積させることによってコア材料の上にドープスペーサを形成することを伴う方法を実施するためのプロセスフローチャートを提供している。図4は、スペーサ材料前駆体(例えば、シリコン含有前駆体又はゲルマニウム含有前駆体)によって基板を亜飽和させるとともにドーパント前駆体によって基板を亜飽和させ、スペーサ材料前駆体及びドーパント前駆体の両方を含む吸着層を形成し、次いで、酸化剤を導入し、ドープスペーサ材料を形成することによって、ドープスペーサ材料を堆積させることを伴う共堆積方法を実施することによって、コア材料の上にドープスペーサを形成するためのプロセスフローチャートを提供している。ALDを使用してドープシリコン酸化物を堆積させる方法は、参照によってその全体をあらゆる目的のために本書に組み込まれる2015年2月17日発行の米国特許第8,956,983号「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(プラズマ活性化原子層堆積を通じた共形ドーピング、及び共形膜堆積)」で更に説明されている。
図3及び図4で実施される動作は、図2で示されるようなパターニング方式で実施されることが理解される。図2の動作201及び205は、図3及び図4に含まれているが、これらの動作は、使用されるパターニング方式に応じて可変であってよいことが理解される。例えば、ダブルパターニング方式及びクアッドパターニング方式は、異なる及び/又は追加の動作を有していてよい。
図3を参照すると、動作201では、パターンコア材料をターゲット層の上に有する基板が提供される。様々な実施形態において、基板は、半導体基板である。基板は、例えば、200mmウエハ、300mmウエハ、又は450mmウエハなどのシリコンウエハであってよく、誘電体材料、導電性材料、又は半導電性材料などの1枚以上の材料層を上に堆積されて有するウエハを含む。
基板は、パターンコア材料を含んでいてよい。コア材料は、フォトレジストであってよい、又は非晶質炭素材料若しくは非晶質シリコン材料で作成されてよい。一部の実施形態では、コア材料は、透過性であってよい。コア材料は、プラズマ支援式化学気相成長(PECVD)などの堆積技術によって堆積されてよく、該堆積技術は、炭化水素前駆体を含む堆積ガスから堆積チャンバ内でプラズマを発生させることを伴ってよい。炭化水素前駆体は、式:Cabで定義されてよく、ここでは、aは、2から10までの間の整数であり、bは、2から24までの間の整数である。例として、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、及びトルエン(C78)が挙げられる。高周波数(HF)電力及び低周波数(LF)電力を含む二重無線周波数(RF)プラズマ源が使用されてよい。コア材料は、パターニングされる前に、ターゲット層の上に堆積される。
ターゲット層は、最終的にパターニングされることになる層であってよい。ターゲット層は、半導体層、誘電体層、又はその他の層であってよく、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、又は窒化チタン(TiN)で作成されてよい。ターゲット層は、ALD、プラズマ支援式ALD(PEALD)、化学気相成長(CVD)、又はその他の適切な堆積技術によって堆積されてよい。図5Aは、ターゲット層502とパターンコア材料506とを含む基板500の一例を示している。
動作302〜312は、約50℃から約200℃の間の温度及び約1.0トールから約9.0トールの間の圧力で実施されてよい。本書で説明される方法は、ALDを伴ってよい。例えば、図3では、動作302〜312が、1サイクルのALDを構成してよい。ALDは、順次式の自己制限反応を使用して薄い材料層を堆積させる技術である。ALDプロセスは、膜を一層ずつ周期的に堆積させるために、表面介在正の堆積反応を使用する。一例として、ALDサイクルは、(i)前駆体の配送/吸着の動作、(ii)チャンバから前駆体をパージする動作、(iii)第2の反応物を配送し、随意としてプラズマを点火させる動作、及び(iv)副生成物をチャンバからパージする動作を含んでいてよい。基板の表面上に膜を形成するための、第2の反応物と吸着前駆体との間の反応は、不均一性、応力、ウェットエッチング速度、ドライエッチング速度、電気的性質(例えば、破壊電圧及び漏れ電流)などの、膜の組成及び性質に影響する。
ALDプロセスの一例では、基板を収容しているチャンバに提供される1回の投入において、表面活性部位の集中を含む基板表面が、シリコン含有前駆体などの第1の前駆体の気相分布に暴露される。第1の前駆体の化学吸着種及び/又は物理吸着分子を含むこの第1の前駆体の分子は、基板表面上に吸着される。なお、本書で説明されるような基板表面上に化合物が吸着されるときに、その吸着層は、上記化合物はもちろん上記化合物の誘導体も含んでいてよいことが、理解されるべきである。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体はもちろん、シリコン含有前駆体の誘導体も含んでいてよい。第1の前駆体投入後、チャンバは、次いで、気相内に残留している第1の前駆体の大半又は全部を除去し、ほぼ又は完全に吸着種のみを残留させるために、排気される。一部の実装形態では、チャンバは、完全に排気されなくてよい。例えば、リアクタは、気相内の第1の前駆体の分圧が、反応を軽減するのに十分な低さになるように、排気されてよい。酸素含有ガスなどの第2の反応物の分子の一部が、表面上に吸着された第1の前駆体と反応するように、このような第2の反応物が、チャンバに導入される。一部のプロセスでは、第2の前駆体は、吸着された第1の前駆体と直ちに反応する。その他の実施形態では、第2の反応物は、プラズマなどの活性化源が一時的に適用された後に初めて反応する。チャンバは、次いで、未結合の第2の反応物分子を除去するために、再び排気されてよい。上述のように、一部の実施形態では、チャンバは、完全に排気されなくてよい。膜の厚みを構築するために、更なるALDサイクルが使用されてよい。
一部の実装形態では、ALD方法は、プラズマ活性化を含む。本書で説明されるように、本書で説明されるALDの方法及び装置は、共形膜堆積(CFD)方法であってよく、これらの方法は、総じて、2011年4月11日に出願され名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許第8,728,956号及び2011年4月11日に出願され名称を「SILICON NITRIDE FILMS AND METHODS(窒化シリコン膜及び方法)」とする米国特許出願公開第2011/0256734号で説明されている。これらは、参照によってその全体を本書に組み込まれる。
図3を参照すると、動作302では、基板は、スペーサ材料前駆体を基板表面上に吸着させるために、そのスペーサ材料前駆体に暴露される。一部の実施形態では、前駆体は、表面活性部位の少なくとも約80%に吸着されてよい。一部の実施形態では、前駆体は、その前駆体がコア材料の露出表面上に及びターゲット層の露出領域上に吸着するように基板の表面を完全に飽和させるために、基板上に吸着してよい。基板をスペーサ材料前駆体に暴露する持続時間は、約0.1秒から約2秒の間であってよい。
スペーサ材料前駆体は、スペーサのために使用される材料に応じて選択される。スペーサ材料は、酸化シリコン又は酸化ゲルマニウムであってよい。酸化シリコンスペーサの場合は、スペーサ材料前駆体は、シリコン含有前駆体であってよい。開示される実施形態にしたがった使用に適したシリコン含有前駆体として、ポリシラン(H3Si−(SiH2)n−SiH3)が挙げられ、ここでは、n≧0である。シランの例は、シラン(SiH4)、ジシラン(Si26)、並びにメチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、s−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、及びジ−t−ブチルジシランなどのオルガノシランである。
ハロシランは、少なくとも1つのハロゲン基を含み、水素基及び/又は炭素基を含んでいてよい又は含んでいなくてよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、及びフルオロシランである。特にフルオロシランなどのハロシランは、プラズマが打ち出されるときに、シリコン材料をエッチングする可能性がある反応性のハロゲン化物種を形成することがあるが、一部の実施形態では、ハロシランからの反応性ハロゲン化物種の形成が軽減されえるように、プラズマが打ち出されるときに、チャンバにハロシランが導入されなくてよい。クロロシランは、具体的には、テトラクロロシラン、トリクロロシラン、ジクロロシラン、モノクロロシラン、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−s−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。
アミノシランは、シリコン原子に結合された少なくとも1つの窒素原子を含み、ただし、水素酸素、ハロゲン、及び炭素も含んでいてよい。アミノシランの例は、モノ−、ジ−、トリ−、及びテトラ−アミノシラン(それぞれ、H3Si(NH2)、H2Si(NH22、HSi(NH23、及びSi(NH24)、並びに例えばt−ブチルアミノシラン、メチルアミノシラン、t−ブチルシランアミン、重(第三級ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、t−ブチルシリルカルバミン酸塩、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH3)NH)3などの置換されたモノ−、ジ−、トリ−、及びテトラ−アミノシランである。アミノシランの更なる一例は、トリシリルアミン(N(SiH3 3 )である。
動作304では、基板表面上に吸着されていない前駆体を除去するために、基板を収容しているプロセスチャンバがパージされてよい。チャンバのパージは、パージガス又はスイープガスを流すことを伴ってよく、このガスは、その他の動作で使用されるキャリアガスであってよい又は異なるガスであってよい。様々な実施形態において、パージガスは、不活性ガスである。不活性ガスの例として、アルゴン、窒素、及びヘリウムが挙げられる。一部の実施形態では、パージは、チャンバを排気することを伴ってよい。パージガスの例として、アルゴン、窒素、水素、及びヘリウムが挙げられる。一部の実施形態では、動作304は、プロセスチャンバを排気するための1つ以上の排気小段階を含んでいてよい。或いは、動作304は、実施形態によっては省略されてよいことがわかる。動作304は、約0.1秒から約2秒の間などの任意の適切な持続時間を有してよい。
動作310では、吸着された前駆体をスペーサ材料に変換するために、基板が酸化剤に暴露され、プラズマが条件下で点火される。例えば、酸化シリコンスペーサが堆積されている場合は、基板表面上に吸着されたシリコン含有前駆体が、酸化プラズマと反応し、酸化シリコンを形成する。酸化剤の例として、酸素ガス、水、二酸化炭素、亜酸化窒素、及びこれらの組み合わせが挙げられる。
酸素含有ガスなどの第2の反応物を活性化させて、第1の前駆体の吸着層と反応するイオン及びラジカル及びその他の活性種にするために、プラズマエネルギが提供される。例えば、プラズマは、酸素含有気相分子を直接的に又は間接的に活性化させて、酸素ラジカル又は酸素イオンを形成してよい。
基板上にスペーサ材料を形成するためのプラズマ条件が、使用される酸化剤のタイプに応じて選択される。一部の実施形態では、プラズマは、約0.25秒から約10秒の間の時間にわたって点火される。
様々な実施形態において、点火されるプラズマは、単一無線周波数プラズマ又は二重無線周波数プラズマであってよい。単一周波数プラズマは、必ずしも必然ではないが、高周波数(HF)のみであるのが通例であり、二重周波数プラズマは、低周波数(LF)成分も含むのが通例である。様々な実施形態において、プラズマは、in−situプラズマであり、チャンバ内で基板表面の上方に直接形成される。In−situプラズマは、単位基板面積あたり約0.2122W/cm2から約2.122W/cm2の間の電力で点火されてよい。例えば、4枚の300mmウエハを処理しているチャンバの場合は、電力は、約200Wから約6000Wの範囲であってよい。例えば、ALDプロセスのためのプラズマは、2枚の容量結合板を使用して無線周波数(RF)場をガスに適用することによって生成されてよい。RF場による板間のガスのイオン化は、プラズマを点火させ、プラズマ放電領域内に自由電子を発生させる。これらの電子は、RF場によって加速され、気相反応物分子と衝突するだろう。これらの電子の、反応物分子との衝突は、堆積プロセスに参与するラジカル種を形成するだろう。RF場は、任意の適切な電極を通じて結合されてよいことがわかる。様々な実施形態において、少なくとも約13.56MHz、又は少なくとも約27MHz、又は少なくとも約40MHz、又は少なくとも約60MHzの周波数を有する高周波数プラズマが使用される。一部の実施形態では、マイクロ波をベースにしたプラズマが使用されてよい。電極の非限定的な例として、プロセスガスを分配するシャワーヘッド及び基板を支持する台座が挙げられる。ALDプロセスのためのプラズマは、ガスへのRF場の容量結合のほかに、1つ以上の適切な方法によって形成されてよいことがわかる。一部の実施形態では、プラズマは遠隔プラズマであり、したがって、チャンバの上流の遠隔プラズマ生成器内で酸化剤が点火され、次いで、基板が収容されているチャンバに配送される。
動作312では、プラズマチャンバは、スペーサ材料前駆体と酸化剤との間の反応からのあらゆる余分な副生成物を除去するために、及び基板表面上のスペーサ材料前駆体と反応しなかった余分な酸化剤を除去するために、パージされてよい。動作312のためのプロセス条件は、動作304に関して上述されたうちの任意であってよい。一部の実施形態では、チャンバは、約5slmから約30slmの間の流量で流される不活性ガスを使用してパージされる。
動作314では、基板の上に、ドーパント酸化物が堆積される。一部の実施形態では、ドーパント酸化物の堆積前にスペーサ材料が堆積されてよく、その一方で、一部の実施形態では、スペーサ材料の堆積前にドーパント酸化物が堆積されてよい。ドーパント酸化物は、原子層堆積、化学気相成長、スパッタリング、及びスピン・オン方法を含むがそれらに限定はされない任意の適切な技術を使用して堆積される。基板の上に堆積されるドーパント酸化物は、酸化ホウ素、酸化リン、酸化アルミニウム、酸化ヒ素、酸化ハフニウム、酸化ガリウム、及びこれらの組み合わせのうちの任意であってよい。本書で説明される実施形態は、ホウ素ドーパント及びリンドーパントを伴うが、任意のドーパント酸化物を堆積させるための任意の前駆体が使用されてよいことが理解される。
酸化ホウ素を堆積させるために、TMB(トリメチルホウ酸塩)、TEB(トリエチルホウ酸塩)、B26(ジボラン)、トリメチルボラン、トリエチルボラン、及びこれらの組み合わせでありえるホウ素含有前駆体のうちの任意が使用されてよい。別の例では、リンをドープされた酸化シリコン膜を堆積させるために、リン酸トリエチル(TEPO)(PO(OC253)、リン酸トリメチル(TMPO)(PO(OCH33)、亜リン酸トリメチル(TMPi)(P(OCH33)、トリスジメチルアミノリン(TDMAP)(((CH32N)3P)、三塩化リン(PCl3)、トリスメチルシリルホスフィン(TMSP)(P(Si(CH333)、及びオキシ塩化リン(POCl3)などの、リン前駆体が使用されてよい。
ALDによって堆積されるドーパント酸化物は、ドーパント前駆体に基板を暴露し、随意としてプロセスチャンバをパージし、酸化プラズマに基板を暴露し、随意としてプロセスチャンバをパージし、随意としてこれらの動作を繰り返すことを伴ってよい。基板は、約0.1秒から約5秒の間の投入時間にわたってドーパント前駆体に暴露されてよい。ドーパント前駆体は、アルゴンなどのキャリアガスによって流されてよく、その際、アルゴンは、約200sccmから約5000sccmの間の流量で流される。パージ動作は、約0.1秒から約5秒の間の持続時間にわたって実施されてよい。基板は、約0.1秒から約5秒の間の持続時間にわたって酸化プラズマに暴露されてよい。プラズマは、4枚の基板に対して約200Wから約6000Wの間のRF電力で点火されてよい。酸化プラズマへの暴露後に実施されるパージ動作は、約0.1秒から約5秒の間の持続時間にわたって実施されてよい。パージ動作のための不活性ガスの流れは、酸化プラズマへの暴露に続いて実施されるパージ動作の場合は約5slmから約30slmの間であってよい。ドーパント酸化物の堆積は、約1.0トールから約9.0トールの間のチャンバ圧力で実施されてよい。
様々な実施形態において、動作302〜314が随意として繰り返されるように、基板の上に、ドーパント酸化物の層とスペーサ材料の層とが交互に堆積されてよい。各ドーパント酸化物層に対し、任意の枚数のスペーサ材料層が堆積されてよい。例えば、スペーサ材料層対ドーパント酸化物層の相対的比率を選択することによって、スペーサ材料内で所望されるドーパントの量が調整されてよい。一部の実施形態では、PEALDによって約3枚から約5枚の酸化シリコン層が堆積されるごとに、ALDによって1枚のドーパント酸化物層が堆積されてよい。
動作316では、基板は、随意としてアニーリングを施されてよい。アニーリングは、約150℃から約400℃の間など最高約400℃の基板温度で実施されてよい。アニーリングは、ドープスペーサ材料が概ね均質であるように、分子、及び基板上のスペーサ材料ドーパント酸化物などの堆積材料を再配置させるだろう。概ね均質であるとは、スペーサ材料全体にわたって均等にドーパントが分散されているとして定義されてよい。一部の実施形態では、ドーパントは、約8×1021原子/ccから約1.8×1022原子/ccの間のように、約1×1020原子/ccから約5×1022原子/ccの間の密度でスペーサ材料内に堆積されてよい。
動作302〜316は、約50Åから約300Åの間の厚さを有するドープスペーサを堆積させるために使用されてよい。開示される実施形態を使用して堆積されるスペーサは、炭素含有コア材料に対し、約1:5から約1:20の間など少なくとも約1:5のエッチング選択性を有してよい。要するに、炭素含有コア材料のエッチング速度は、ドープスペーサのエッチング速度の少なくとも約5倍の速さであってよい。ドープスペーサ材料に対するコア材料のエッチング選択性は、約5:1から約20:1の間であってよい。
動作205では、スペーサで作成されたマスクを形成するために、基板はエッチバックされてよく、コア材料は選択的にエッチングされてよい。動作207では、スペーサマスクが、基板上のターゲット層をエッチングするために使用されてよい。開示される実施形態は、自己整合ダブルパターニング方式及び自己整合クアッドパターニング方式のためにポジ型パターニング方式の一環として使用される。動作205及び動作207は、図2に関連して上述されたエッチング化学剤及びエッチング技術を使用して実施されてよい。
図4は、開示される特定の実施形態にしたがって使用するためのドープスペーサ材料を形成する代替の方法を提供している。動作201、205、及び207は、図2に関連して上述された動作201、205、及び207に相当する。基板をエッチバックするために使用されるエッチング化学剤が、従来の任意のエッチング化学剤であってよい一方で、動作402〜416で堆積されるドープスペーサは、コア材料がスペーサ材料の少なくとも5倍の速さでエッチングされるように、エッチング選択性を高めることがわかる。
動作201に続いて、動作402では、基板は、スペーサ材料前駆体で基板表面を飽和させるのに十分でない持続時間にわたり、同スペーサ材料前駆体に暴露される。スペーサ材料前駆体の亜飽和層を基板表面上に堆積させるために、スペーサ材料前駆体が、亜飽和投入として条件下で基板へ導かれる。一部の実施形態では、ALDサイクルにおける投入段階は、表面を均等に飽和させるために、前駆体が基板に接触する前に終結する。例えば、この動作では、暴露の持続時間が短縮されてよい。通常は、この時点で、前駆体の流れがオフにされ又は逸らされ、パージガスのみが流される。この亜飽和レジームで動作することによって、ALDプロセスは、サイクル時間を短縮し、スループットを向上させる。しかしながら、前駆体の吸着は、飽和を制限されないので、基板表面の場所ごとに、吸着される前駆体の濃度が僅かに異なるだろう。亜飽和レジームで動作するALDプロセスの例が、2013年10月23日に出願され名称を「SUB−SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(亜飽和原子層堆積及び共形膜堆積)」とする米国特許出願第14/061,587号で提供されている。この出願は、参照によってその全体を本明細書に組み込まれる。
選択されるスペーサ材料前駆体は、図3の動作302に関連して上述されたうちの任意であってよい。
動作404では、プロセスチャンバは、気相内の余分な前駆体を除去するために、パージされてよい。動作404は、図3の動作304に関連して上述されたプロセス条件及びパージガスのうちの任意を伴ってよい。
動作406では、基板は、亜飽和投入のためのドーパント前駆体を基板表面上に吸着させるために、同ドーパント前駆体に暴露される。この動作中、ドーパントは、スペーサ材料前駆体によって占有されていない基板表面上の活性部位上に吸着するだろう。このような投入後、基板上には、スペーサ材料前駆体及びドーパントの両方含む、ほぼ又は完全に飽和された層がある。なお、本書では、動作402及び406が順々に論じられているが、一部の実施形態では、動作402が動作406に先立って実施されてよいこと、及び一部の実施形態では、動作406が動作402に先立って実施されてよいことが理解される。
動作408では、プロセスチャンバは、気相内の余分なドーパントをチャンバから除去するために、パージされてよい。パージプロセス条件及びパージガスは、図3の動作304に関連して上述されたうちの任意であってよい。一部の実施形態では、動作408のために使用されるパージガス及びプロセス条件が、動作404のそれらと異なってよいことが理解される。更に、一部の実施形態では、動作408のために使用されるパージガス及びプロセス条件が、動作404のそれらと同じであってよい。
動作410では、基板上にドープスペーサ材料を形成するために、基板は酸化剤に暴露され、条件下でプラズマが点火される。酸化剤プラズマは、基板を覆うドープスペーサ材料を形成するために、基板表面上のドーパント前駆体及び基板表面上のスペーサ材料前駆体の両方と反応する。例えば、ホウ素をドープされた酸化シリコンスペーサを堆積させるために、動作410は、酸素ガスを流してプラズマを点火させ、基板の表面上に吸着されたシリコン含有前駆体及びホウ素含有前駆体を酸化シリコン及び酸化ホウ素に変換することを伴ってよく、それによって、ホウ素ドープ酸化シリコン膜を形成する。
動作412では、基板は、随意として、動作304に関連して上述された条件及びパージガスのうちの任意を使用してパージされてよい。
動作402〜412は、所望の厚さを有するドープスペーサ材料を堆積させるために、随意として、任意の適切な数のサイクルにわたって繰り返されてよい。一部の実施形態では、ドーパント亜飽和投入が毎サイクルで実施されなくてもよい。
動作416では、基板は、より均質なドープスペーサを形成するために、随意として、アニーリングを経てよい。アニーリングプロセス条件は、図3の動作316に関連して上述されたうちの任意であってよい。
動作205では、ドープスペーサ材料をマスクとして基板上に残すために、基板はエッチバックされてよく、コア材料は選択的にエッチングされてよい。動作402〜416は、約50Åから約300Åの間の厚さを有するドープスペーサを堆積させるために使用されてよい。開示される実施形態を使用して堆積されるスペーサは、炭素含有コア材料に対し、約1:5から約1:20の間など少なくとも約1:5のエッチング選択性を有してよい。要するに、炭素含有コア材料のエッチング速度は、ドープスペーサのエッチング速度の少なくとも約5倍の速さであってよい。ドープスペーサ材料に対するコア材料のエッチング選択性は、約5:1から約20:1の間であってよい。
動作207では、基板のターゲット層は、ドープスペーサをマスクとして使用してエッチングされてよい。図4に関連した実施形態は、自己整合ダブルパターニング方式及び自己整合クアッドパターニング方式に使用されるなどのポジ型パターニング方式のために使用されてよい。
図5A〜5Fは、開示される様々な実施形態を経ている基板500の代表的な一連の概要を提供している。図5Aは、下層501と、ターゲット層502と、パターンコア材料506とを有する基板500を示している。様々な実施形態において、図5Aに示された基板は、図2、図3、及び図4に関連して上述されたような動作201で提供される基板であってよい。
図5Bでは、ドープスペーサ材料504が、コア材料506の上に共形的に堆積されている。ドープスペーサ材料504は、図3に関連して上述されたように、スペーサ材料の層とドーパント酸化物の層との交互堆積を使用して堆積されてよい。例えば、ドープスペーサ材料504は、3枚の酸化シリコン層ごとに1枚の酸化ホウ素層を堆積させることによって堆積されてよく、ここでは、酸化ホウ素及び酸化シリコンは、ともに、PEALD技術を使用して堆積される。或いは、ドープスペーサ材料504は、基板の上にスペーサ材料前駆体の亜飽和投入及びドーパント前駆体の亜飽和投入を実施して、スペーサ材料前駆体及びドーパント前駆体の両方が吸着された層を形成し、該吸着層を、図4に関連して上述されたような酸化剤及びプラズマを使用して反応させることによって堆積されてよい。例えば、一部の実施形態では、基板表面上にシリコン含有前駆体及びホウ素含有前駆体が吸着された層を形成するために、シリコン含有前駆体が表面上へ亜飽和されるとともにホウ素含有前駆体が表面上へ亜飽和されてよい。吸着層は、次いで、ホウ素をドープされた酸化シリコン膜を形成するために、酸化プラズマと反応してよい。このような動作は、次いで、図5Bに示されたドープスペーサ材料504のような、更に厚いホウ素ドープ酸化シリコンスペーサを形成するために、1回以上にわたって繰り返されてよい。ドープスペーサ材料504は、均質な膜を形成するために、アニーリングを経てもよい。
図5Cでは、基板は、化学機械平坦化(CMP)技術などによってエッチバックされ、更に、508におけるドープスペーサ材料を除去するために、指向的にエッチングされてよい。このエッチング動作は、ドープスペーサ材料504の完全性が維持されるように実施され、エッチングは、図5Cに示されるようにスペーサ材料を開かせてコア材料516を露出させるために実施される。この動作は、一部の実施形態では、フッ化炭素エッチング化学剤を使用した指向性イオンスパッタリング技術又はドライエッチング技術を伴ってよい。
動作5Dでは、ドープスペーサ材料514をマスクとして基板上に残らせるために、図5Cからのコア材料516が、選択的にエッチングされる。コア材料516は、ドープスペーサ材料514のエッチング速度の少なくとも5倍の速さのエッチング速度でエッチングされる。図5Aと比べると、図5Dに示された基板は、ピッチが半分に低減された自己整合ダブルパターニングマスクとしてターゲット層502のエッチングに使用できる状態になっている。向上したエッチング選択性は、スペーサ材料514を劣化させることなくスペーサ材料514の側壁を滑らかに維持する。
図5Eでは、ターゲット層512は、ドープスペーサ材料514をマスクとして使用して、エッチングされる。コア材料対ドープスペーサ材料のエッチング選択性が向上しているので、マスクとしてドープスペーサ材料514を使用すると、ターゲット層512内に高品質のエッチングプロフィールが得られる。
図5Fでは、ドープスペーサ材料514が除去され、エッチングされたターゲット層512が基板上に残される。図1Fと比較すると、エッチングされたターゲット層512は、優れたエッチングプロフィールを有する。
炭素含有材料対ドープスペーサ材料のエッチング速度及びエッチング選択性は、基板の温度(例えば、基板を保持している台座が設定される温度)を変化させる、プラズマ電力を増加させる、及びアルゴンガスの流れなどの不活性ガスの流れを増加させることで、ドープスペーサ材料のエッチング速度を更に減少させることによって、更に調整されてよいことが理解される。エッチング速度を減少させるために、本書で説明されるような堆積後アニーリングが使用されてもよい。
装置
図6は、低圧環境を維持するためのプロセスチャンバボディ602を有する原子層堆積(ALD)プロセスステーション600の一実施形態の説明図を示している。複数のALDプロセスステーション600が、共通の低圧プロセスツール環境内に含まれてよい。例えば、図7は、マルチステーション型処理ツール700の一実施形態を示している。一部の実施形態では、以下で詳細に論じられるものを含むALDプロセスステーション600の、1つ以上のハードウェアパラメータが、1つ以上のコンピュータコントローラ650によってプログラムで調節されてよいことがわかる。
ALDプロセスステーション600は、プロセスガスを分配シャワーヘッド606に配送するための反応物配送システム601aと流体連通している。反応物配送システム601aは、シャワーヘッド606への配送のために、シリコン含有前駆体、ドーパント前駆体ガス、又は酸化剤ガスなどのプロセスガスを混ぜ合わせる及び/又は調整するための混合容器604を含む。1つ以上の混合容器入口弁620が、混合容器604へのプロセスガスの導入を制御してよい。ドープスペーサ材料に対してコア材料を選択的にエッチングするための開示される実施形態は、1つ以上のプロセスチャンバ内で実施されてよい。例えば、ドープスペーサ材料が、ALDプロセスステーション600などのプロセスチャンバ内で堆積されてよく、その一方で、ALDプロセスステーション600は、図7及び図9に関連して後述されるような、更に大きいツール又は装置の一部であってよい。エッチング動作は、図8に関連して後述されるような別のプロセスチャンバ内で実施されてよい。
一例として、図6の実施形態は、混合容器604に供給される液体反応物を気化するための気化地点603を含む。一部の実施形態では、気化地点603は、加熱された気化器であってよい。このような気化器から生成された飽和した反応物蒸気は、下流の配送管の中で凝結する恐れがある。不適合性のガスが凝結反応物に触れると、小粒子を形成することがある。これらの小粒子は、管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする恐れがある。これらの問題に対処する一部のアプローチは、残留反応物を除去するために配送管をパージする及び/又は排気することを伴う。しかしながら、配送管のパージは、プロセスステーションのサイクル時間を長くし、プロセスステーションのスループットを低下させる恐れがある。したがって、一部の実施形態では、気化地点603の下流の配送管が、熱追跡されてよい。一部の例では、混合容器604も、熱追跡されてよい。非限定的な一例では、気化地点603の下流の管が、おおよそ100℃から混合容器604におけるおおよそ150℃に向かって上昇する温度プロフィールを有する。
一部の実施形態では、液体前駆体又は液体反応物が、液体注入器で気化されてよい。例えば、液体注入器は、液体反応物を、混合容器の上流のキャリアガスの流れに一定間隔で注入してよい。一実施形態では、液体注入器は、液体を高圧から低圧へ勢いよく流すことによって反応物を気化させてよい。別の例では、液体注入器は、液体を霧化させて分散微滴にしてよく、これらの微滴は、引き続き、加熱された配送管内で気化される。液滴は、小さいほど速く気化されて、液体注入と完全気化との間の遅延を短縮するだろう。気化が速いほど、気化地点603から下流の管の長さを短くできるだろう。或る状況では、液体注入器は、混合容器604に直接取り付けられてよい。別の状況では、液体注入器は、シャワーヘッド606に直接取り付けられてよい。
一部の実施形態では、気化及びプロセスステーション600への配送のために液体の質量流量を制御するために、気化地点603の上流に液体流量コントローラ(LFC)が提供されてよい。例えば、LFCは、その下流に位置する熱質量流量計(MFM)を含んでいてよい。したがって、LFCのプランジャ弁は、MFMと電気的に通信する比例・積分・微分(PID)コントローラによって提供されるフィードバック制御信号を受けて調節されてよい。しかしながら、フィードバック制御を使用して液体の流れを安定化させるには、1秒又はそれを超える時間がかかるだろう。これは、液体反応物を投入するための時間を長引かせる恐れがある。したがって、一部の実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。一部の実施形態では、LFCは、LFC検知管及びPIDコントローラを使用停止にすることによって実施されてよい。
シャワーヘッド606は、基板612に向かってプロセスガスを分配する。図6に示された実施形態では、基板612は、シャワーヘッド606の下に配置され、台座608上に着座した状態で示されている。シャワーヘッド606は、任意の適切な形状を有してよく、プロセスガスを基板612に分配するのに適した任意の数及び配置のポートを有してよい。
一部の実施形態では、台座608が、基板612とシャワーヘッド606との間の空間に基板612を暴露するために上昇又は下降されよい。一部の実施形態では、台座の高さが、適切なコンピュータコントローラ650によってプログラムで調節されてよいことがわかる。
別の状況では、台座608の高さ調節が、プロセスに含まれるプラズマ活性化サイクル中にプラズマ密度が変更されることを可能にしてよい。プロセス段階の終わりには、台座608から基板612が取り除かれることを可能にするために、台座608が、別の基板移送段階中に下降されてよい。
一部の実施形態では、基板612とシャワーヘッド606との間の空間を変化させるために、シャワーヘッド606の位置が、台座608に相対的に調節されてよい。更に、台座608及び/又はシャワーヘッド606の垂直位置が、本開示の範囲内で任意の適切なメカニズムによって変更されてよいことがわかる。一部の実施形態では、台座608は、基板612の向きを回転させるための回転軸を含んでいてよい。一部の実施形態では、これらの調節例のうちの1つ以上が、1つ以上の適切なコンピュータコントローラ650によってプログラムで実施されてよいことがわかる。コンピュータコントローラ650は、図7のコントローラ750に関連して以下で説明される特徴のうちの任意を含んでいてよい。
上記のような、プラズマが使用されてよい一部の実施形態では、シャワーヘッド606及び台座608は、プラズマに電力供給するために、無線周波数(RF)電力供給部614及び整合回路網616と電気的に通信する。一部の実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、及びプラズマ電力パルスタイミングのうちの1つ以上を制御することによって制御されてよい。例えば、RF電力供給部614及び整合回路網616は、所望の組成のラジカル種を有するプラズマを発生させるために、任意の適切な電力で動作されてよい。適切な電力の例は、上で挙げられている。同様に、RF電力供給部614は、任意の適切な周波数のRF電力を提供してよい。一部の実施形態では、RF電力供給部614は、高周波数RF電力源及び低周波数RF電力源を互いに独立に制御するように構成されてよい。低周波数RF周波数の例として、0kHzから500kHzの間の周波数が挙げられ、ただし、このような周波数に限定はされない。高周波数RF周波数の例として、1.8MHzから2.45GHzの間の周波数、又は約13.56MHzを超える周波数、又は27MHzを超える周波数、又は40MHzを超える周波数、又は60MHzを超える周波数が挙げられ、ただし、このような周波数に限定はされない。表面反応のためのプラズマエネルギを提供するために、任意の適切なパラメータが離散的に又は連続的に調整されてよいことがわかる。
一部の実施形態では、プラズマは、1つ以上のプラズマモニタによってin−situで監視されてよい。或る状況では、1つ以上の電圧・電流センサ(例えば、VIプローブ)によって、プラズマ電力が監視されてよい。別の状況では、1つ以上の発光分析センサ(OES)によって、プラズマ密度及び/又はプロセスガス濃度が測定されてよい。一部の実施形態では、このようなin−situプラズマモニタからの測定結果に基づいて、1つ以上のプラズマパラメータがプログラムで調節されてよい。例えば、プラズマ電力のプログラム制御を提供するためのフィードバックループにおいて、OESセンサが使用されてよい。なお、一部の実施形態では、プラズマ及びその他のプロセス特性を監視するために、その他のモニタが使用されてよいことがわかる。このようなモニタの例として、赤外線(IR)モニタ、音響モニタ、及び圧力変換器が挙げられ、ただし、このようなモニタに限定はされない。
一部の実施形態では、コントローラ650のための命令が、入出力制御(IOC)シークエンシング命令を通じて提供されてよい。一例では、プロセス段階のための条件を設定するための命令が、プロセスレシピにおける対応するレシピ段階に含められてよい。場合によっては、プロセスレシピにおける段階は、或るプロセス段階のための全ての命令がそのプロセス段階に並行して実行されるように、順次配置されてよい。一部の実施形態では、1つ以上のプラズマパラメータを設定するための命令が、レシピ段階に含められてよい。例えば、第1のレシピ段階は、スペーサ材料前駆体ガスの流量を設定するための命令と、キャリアガスの流量を調整するための命令と、第1のレシピ段階のための時間遅延命令とを含んでいてよい。続く第2のレシピ段階は、スペーサ材料前駆体ガスの流量を調整又は停止するための命令と、キャリアガス又はパージガスの流量を調整するための命令と、第2のレシピ段階のための時間遅延命令とを含んでいてよい。第3のレシピ段階は、不活性ガス及び/又は反応物ガス(例えば、酸化剤)の流量を設定するための命令と、(アルゴンなどの)キャリアガスの流量を設定するための命令と、第3のレシピ段階のための時間遅延命令とを含んでいてよい。続く第4のレシピ段階は、不活性ガス及び/又は反応物ガスの流量を調整又は停止するための命令と、キャリアガス又はパージガスの流量を調整するための命令と、第4のレシピ段階のための時間遅延命令とを含んでいてよい。第5のレシピ段階は、ホウ素含有前駆体などのドーパント前駆体ガスの流量を調整するための命令と、キャリアガス又はパージガスの流量を調整するための命令と、第5のレシピ段階のための時間遅延命令とを含んでいてよい。続く第6のレシピ段階は、不活性ガス及び/又は反応物ガスの流量を調整又は停止するための命令と、キャリアガス又はパージガスの流量を調整するための命令と、第6のレシピ段階のための時間遅延命令とを含んでいてよい。これらのレシピ段階は、本開示の範囲内の任意の適切なやり方で、更に細分及び/又は反復されてよいことがわかる。一部の実施形態では、レシピ段階は、スペーサ材料前駆体ガスの亜飽和投入を導入するように、ドーパント前駆体ガスの亜飽和投入を導入するように、及びドープスペーサ材料を形成するために酸化剤を導入してプラズマを点火させるように、選択されてよい。
一部の実施形態では、台座608は、ヒータ610を通じて温度制御されてよい。一部の実施形態では、台座608は、ドープスペーサ材料を堆積させた後に基板にアニーリングを施すために、基板を最高約400℃の温度に加熱するように調整されてよい。更に、一部の実施形態では、バタフライ弁618によって、プロセスステーション600のための圧力制御が提供されてよい。図6の実施形態に示されるように、バタフライ弁618は、下流の真空ポンプ(不図示)によって提供される真空を絞り調節する。しかしながら、一部の実施形態では、プロセスステーション600の圧力制御は、プロセスステーション600に導入される1種類以上のガスの流量を変化させることによって調節されてもよい。
上述されたように、マルチステーション型処理ツールには、1つ以上のプロセスステーションが含まれていてよい。図7は、入室ロードロック702と、退室ロードロック704とを伴うマルチステーション型処理ツール700の一実施形態の概略図を示しており、これらのロードドックは、その一方又は両方が遠隔プラズマ源を含んでいてよい。大気圧にあるロボット706が、ポッド708を通じて搭載されたカセットから大気圧ポート710を通してウエハを入室ロードロック702内へ移動させるように構成される。ウエハは、ロボット706によって、入室ロードロック702内の台座712に載せられ、大気圧ポート710は、閉じられ、ロードロックは、ポンプによって排気される。入室ロードロック702が遠隔プラズマ源を含む場合は、ウエハは、処理チャンバ714内へ導入される前に、ロードロック内で遠隔プラズマ処理を受けてよい。更に、ウエハは、例えば湿気及び吸着ガスを除去するために、入室ロードロック702内で加熱されてもよい。次に、処理チャンバ714へのチャンバ移送ポート716が開かれ、別のロボット(不図示)が、ウエハを、処理のために、リアクタ内に示された第1のステーションの台座の上に載せる。図7に示された実施形態は、ロードロックを含むが、一部の実施形態では、処理ステーション内へウエハが直接的に入れられてよいことがわかる。
図に示された処理チャンバ714は、4つのプロセスステーションを含み、図7の実施形態では、1〜4の番号を振られている。各ステーションは、加熱された台座(ステーション1の場合は718で示されている)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なる又は複数の目的を有していてよいことがわかる。例えば、一部の実施形態では、プロセスステーションは、ALD処理モードとプラズマ支援式ALD処理モードとの間で切り替え可能であってよい。一部の実施形態では、開示される様々な実施形態を実施するために、プロセスステーション間で基板が往復又は移動されえるように、第1のプロセスステーション内でスペーサ材料が堆積され、第2のプロセスステーション内でドーパント酸化物層が堆積されてよい。加えて又は或いは、一部の実施形態では、処理チャンバ714は、1対以上のALD/プラズマ支援式ALDプロセスステーションを含んでいてよい。図に示された処理チャンバ714は、4つのプロセスステーションを備えているが、本開示にしたがった処理チャンバは、任意の適切な数のステーションを有してよいことが理解される。例えば、一部の実施形態では、処理チャンバは、5つ以上のステーションを有してよく、その他の実施形態では、処理チャンバは、3つ以下のステーションを有してよい。
図7は、処理チャンバ714内でウエハを移送するためのウエハ取扱システム790の一実施形態を示している。一部の実施形態では、ウエハ取扱システム790は、様々なプロセスステーション間で、及び/又はプロセスステーションとロードロックとの間でウエハを移送してよい。任意の適切なウエハ取扱システムが用いられてよいことがわかる。非限定的な例として、ウエハカルーセル及びウエハ取扱ロボットが挙げられる。図7は、プロセスツール700のプロセス条件及びハードウェア状態を制御するために利用されるシステムコントロー750の一実施形態も示している。システムコントローラ750は、1つ以上のメモリデバイス756と、1つ以上の大容量ストレージデバイス754と、1つ以上のプロセッサ752とを含んでいてよい。プロセッサ752は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などを含んでいてよい。
一部の実施形態では、システムコントローラ750は、プロセスツール700の全ての活動を制御する。システムコントローラ750は、システム制御ソフトウェア758を実行し、このソフトウェアは、大容量ストレージデバイス754に格納され、メモリデバイス756に取り込まれ、プロセッサ752上で実行される。或いは、制御ロジックは、コントローラ750内にハードコード化されてよい。これらの目的のために、特殊用途向け集積回路や、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、即ちFPGA)などが使用されてよい。以下の議論において、「ソフトウェア」又は「コード」が使用されるときは、常に、機能的に匹敵するハードコード化されたロジックが代わりに使用されてよい。システム制御ソフトウェア758は、プロセスツール700によって実施される特定のプロセスの、タイミング、ガスの混合、ガスの流量、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハの温度、目標電力レベル、RF電力レベル、基板台座、チャック、及び/又はサセプタの位置、並びにその他のパラメータを制御するための、命令を含んでいてよい。システム制御ソフトウェア758は、任意の適切な形に構成されてよい。例えば、様々なプロセスツールプロセスを行うために必要とされるプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。システム制御ソフトウェア758は、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
一部の実施形態では、システム制御ソフトウェア758は、上述された様々なパラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含んでいてよい。一部の実施形態では、システムコントローラ750に関係付けられた大容量ストレージデバイス754及び/又はメモリデバイス756に格納されたその他のコンピュータソフトウェア及び/又はプログラムが用いられてよい。この目的のためのプログラム又はプログラムセクションの例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座718に搭載するために及び基板とプロセスツール700のその他のパーツとの間の間隔を制御するために使用されるプロセスツールコンポーネントのための命令を含んでいてよい。
プロセスガス制御プログラムは、ガスの組成(例えば、本書で説明されるような、シリコン含有ガス、酸素含有ガス、ホウ素含有ガス、リン含有ガス、及びパージガス)、並びに流量を制御するための、並びに随意として、プロセスステーション内の圧力を安定化させるために堆積前に1つ以上のプロセスステーション内に流し入れるための、命令を含んでいてよい。圧力制御プログラムは、例えば、プロセスステーションの排気システム内の絞り弁やプロセスステーション内へのガスの流れなどを調節することによってプロセスステーション内の圧力を制御するための命令を含んでいてよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するための命令を含んでいてよい。或いは、ヒータ制御プログラムは、基板への(ヘリウムなどの)熱伝達ガスの配送を制御してよい。
プラズマ制御プログラムは、本書における実施形態にしたがって1つ以上のプロセスステーションの中のプロセス電極に印加されるRF電力レベルを設定するための命令を含んでいてよい。
圧力制御プログラムは、本書における実施形態にしたがって反応チャンバ内の圧力を維持するための命令を含んでいてよい。
一部の実施形態では、システムコントローラ750に、ユーザインターフェースが関連付けられてよい。ユーザインターフェースとして、ディスプレイ画面、装置及び/又はプロセス条件のグラフィックソフトウェア表示、並びにポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどのユーザ入力デバイスが挙げられる。
一部の実施形態では、システムコントローラ750によって調節されるパラメータが、プロセス条件に関係していてよい。非限定的な例として、プロセスガスの組成及び流量、温度、圧力、(RFバイアス電力レベルなどの)プラズマ条件、圧力、温度などが挙げられる。これらのパラメータは、レシピの形でユーザに提供されてよく、ユーザインターフェースを用いて入力されてよい。
プロセスを監視するための信号が、様々なプロセスツールセンサからシステムコントローラ750のアナログ入力接続及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、プロセスツール700のアナログ出力接続及びデジタル出力接続に載せて出力されてよい。監視されえるプロセスツールセンサの非限定的な例として、質量流量コントローラ、(圧力計などの)圧力センサ、熱電対などが挙げられる。これらのセンサからのデータと併せて、適切にプログラムされたフィードバック・制御アルゴリズムが、プロセス条件を維持するために使用されてよい。
システムコントローラ750は、本書で説明された堆積プロセスを実行に移すためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などなどの多様なプロセスパラメータを制御してよい。命令は、本書で説明される様々な実装形態にしたがった膜積層体のin−situ堆積を動作させるために、パラメータを制御してよい。
システムコントローラ750は、開示される実施形態にしたがった方法を装置が実施するように、通常は、1つ以上のメモリデバイスと、命令を実行するように構成された1つ以上のプロセッサとを含む。開示される実施形態にしたがったプロセス動作を制御するための命令を含む機械読み取り可能媒体が、システムコントローラ750に結合されてよい。
一部の実施形態では、システムコントローラ750は、システムの一部であってよく、該システムは、上述された例の一部であってよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、処理のための1つ以上のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガスフローシステムなど)を含む、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称されてよく、1つ以上のシステムの様々なコンポーネント又は副部品を制御してよい。システムコントローラ750は、処理要件及び/又はシステムタイプに応じ、プロセスガスの配送、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールへの、及び特定のシステムに接続された若しくはインターフェース接続されたその他の移送ツール及び/若しくはロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示される任意のプロセスを制御するようにプログラムされてよい。
概して、システムコントローラ750は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別設定(又はプログラムファイル)の形でシステムコントローラ750に伝えられて、半導体ウエハに対して若しくは半導体ウエハのための又はシステムへの特定のプロセスを実行に移すための動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作における1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
システムコントローラ750は、一部の実施形態では、システムと一体化された、システムに結合された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータに結合されてよい。例えば、システムコントローラ750は、「クラウド」の中、又はファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にできる。コンピュータは、製作動作の現進行状況を監視するために、又は過去の製作動作の履歴を調査するために、又は複数の製作動作から傾向若しくは性能基準を調査するために、又は現処理のパラメータを変更するために、又は処理工程を設定して現処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしてよい。一部の例では、遠隔コンピュータ(例えば、サーバ)が、ローカルネットワーク又はインターネットが挙げられるコンピュータネットワークを通じてシステムにプロセスレシピを提供できる。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。一部の例では、システムコントローラ750は、1つ以上の動作の最中に実施されるべき各処理工程のためのパラメータを指定するデータの形式で命令を受信する。これらのパラメータは、実施されるプロセスのタイプに、及びシステムコントローラ750がインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であることが、理解されるべきである。したがって、上述されたように、システムコントローラ750は、ネットワークによって結ばれて本書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベルで又は遠隔コンピュータの一部としてなどで)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路が挙げられる。
制限を受けることなく、代表的なシステムとして、プラズマエッチングチャンバ又はプラズマエッチングモジュール、堆積チャンバ又は堆積モジュール、スピンリンスチャンバ又はスピンリンスモジュール、金属めっきチャンバ又は金属めっきモジュール、洗浄チャンバ又は洗浄モジュール、ベベルエッジエッチングチャンバ又はベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ又はPVDモジュール、化学気相成長(CVD)チャンバ又はCVDモジュール、ALDチャンバ又はALDモジュール、原子層エッチング(ALE)チャンバ又はALEモジュール、イオン注入チャンバ又はイオン注入モジュール、追跡チャンバ又は追跡モジュール、並びに半導体ウエハの製作及び/又は生産に関係付けられた又は使用されるその他のあらゆる半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ以上のプロセス工程に応じて、システムコントローラ750は、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所に設置されたツール、メインコンピュータ、別のコントローラ、又は半導体生産工場の中のツール場所及び/若しくは装填ポートに対してウエハが入った容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りするだろう。
本書で開示される方法を実施するための適切な装置が、2011年4月11日に出願され名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許出願第13/084,399号(今現在の米国特許第8,728,956号)、及び2011年4月11日に出願され名称を「SILICON NITRIDE FILMS AND METHODS(窒化シリコン膜及び方法)」とする米国特許出願第13/084,305号において、更に議論及び説明されている。これらの出願は、それぞれ、参照によってその全体を本書に組み込まれる。
本書で説明される装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製作又は生産のために、リソグラフィパターニングのツール又はプロセスと併せて使用されてよい。このようなツール/プロセスは、必ずしも必然ではないが通常は、共通の製作設備の中で併せて使用される又は行われる。膜のリソグラフィパターニングは、通常は、(1)スピンオンツール又は噴き付けツールを使用して、被加工物、即ち基板上にフォトレジストを塗布する動作、(2)加熱板又は加熱炉又はUV硬化ツールを使用して、フォトレジストを硬化させる動作、(3)ウエハステッパなどのツールによって、可視光又は紫外線又はX線にフォトレジストを暴露する動作、(4)レジストを選択的に除去してそれによってパターニングするために、ウェットベンチなどのツールを使用して、レジストを現像する動作、(5)ドライ式又はプラズマ支援式のエッチングツールを使用することによって、レジストパターンをその下の膜又は被加工物に転写する動作、並びに(6)RF又はマイクロ波プラズマレジスト剥ぎ取り器などのツールを使用して、レジストを除去する動作の、一部又は全部を含み、各動作は、考えられる幾つかのツールによって可能にされる。
本書で説明される、コア材料をスペーサ材料に対して選択的にエッチングするなどのエッチング動作は、任意の適切なプロセスチャンバ内で実施されてよい。一部の実施形態では、基板は、図8に示されるような誘導結合プラズマ(ICP)リアクタ内でエッチングされてよい。
次に、特定の実施形態ではエッチング動作及び原子層堆積(ALD)動作に適しているだろう誘導結合プラズマ(ICP)リアクタが説明される。このようなICPリアクタは、2013年12月10日に出願され名称を「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING(マルチパターニングのための、AHMギャップ充填による像反転)」とする米国特許出願公開第2014/0170853号でも説明されており、該出願公開は、あらゆる目的のために、参照によってその全体を本明細書に組み込まれる。本書では、ICPリアクタが説明されているが、一部の実施形態では、容量結合プラズマリアクタが使用されてもよいことが理解されるべきである。
図8は、本明細書における特定の実施形態を実行するのに適した誘導結合プラズマ統合型エッチング・堆積装置800の断面図を概略的に示している。このような装置の一例は、カリフォルニア州フリーモントのLam Research Corp.によって製造されるKiyo(登録商標)リアクタである。誘導結合プラズマ装置800は、チャンバ壁801と窓811とによって構造的に画定された全体プロセスチャンバを含む。チャンバ壁801は、ステンレス鋼又はアルミニウムで作成されてよい。窓811は、石英又はその他の誘電体材料で作成されてよい。随意の内部プラズマ格子850が、全体プロセスチャンバを上方サブチャンバ802と下方サブチャンバ803とに分ける。大半の実施形態では、プラズマ格子850が取り外されてよく、それによって、サブチャンバ802と803とで形成されたチャンバ空間が利用される。下方サブチャンバ803内の内側の底面近くには、チャック817が配置される。チャック817は、エッチングプロセス及び堆積プロセスが実施される半導体基板又はウエハ819を受けて保持するように構成される。チャック817は、ウエハ819が存在するときにそのウエハを支えるための静電チャックであることができる。一部の実施形態では、エッジリング(不図示)がチャック817を取り囲み、このエッジリングは、ウエハ819がチャック817の上に存在するときにそのウエハの上面とおおよそ同一面上にくる上面を有する。チャック817は、また、ウエハ819を把持する及び解放するための静電電極も含む。この目的のために、フィルタ及びDCクランプ電源(不図示)が提供されてよい。ウエハ819をチャック817から持ち上げるためのその他の制御システムも提供できる。チャック817は、RF電源823を使用して電気的に充電できる。RF電源823は、接続827を通じて整合回路網821に接続される。整合回路網821は、接続825を通じてチャック817に接続される。このようにして、RF電源823は、チャック817に接続される。
プラズマ生成のための構成要素には、窓811の上方に配置されたコイル833がある。一部の実施形態では、コイルは、開示された実施形態では使用されない。コイル833は、導電性材料で作成され、少なくとも1回の完全な巻きを含む。図8に示されたコイル833の例は、3回の巻きを含む。コイル833の断面は、記号で示され、「X」を有するコイルは、ページに進入する方向に巻いており、「●」を有するコイルは、ページから突き出る方向に巻いている。プラズマ生成のための構成要素は、また、コイル833にRF電力を供給するように構成されたRF電源841も含む。総じて、RF電源841は、接続845を通じて整合回路網839に接続される。整合回路網839は、接続843を通じてコイル833に接続される。このようにして、RF電源841は、コイル833に接続される。コイル833と窓811との間には、随意のファラデーシールド849が配置される。ファラデーシールド849は、コイル833に対し、相隔てられた関係に維持される。ファラデーシールド849は、窓811の真上に設置される。コイル833、ファラデーシールド849、及び窓811は、それぞれ、互いに平行であるように構成される。ファラデーシールドは、プロセスチャンバの誘電体窓に金属又はその他の種が堆積するのを防ぎえる。
上方サブチャンバ内に配置された1つ以上の主要ガスフロー入口860を通して、及び/又は1つ以上の脇ガスフロー入口870を通して、プロセスガス(例えば、アルゴン、Ca bなどの炭化水素など)が、処理チャンバに流し込まれてよい。同様に、図には明示されていないが、同様のガスフロー入口が、プロセスガスを容量結合プラズマ処理チャンバに供給するために使用されてよい。例えば1段階若しくは2段階の機械式ドライポンプ、及び/又はターボ分子ポンプ840などの真空ポンプが、プロセスガスをプロセスチャンバ824から引き出すために及びプロセスチャンバ824内の圧力を維持するために使用されてよい。例えば、真空ポンプは、ALDのパージ動作中にチャンバ824を排気するために使用されてよい。真空ポンプによって提供される真空環境の適用を選択的に制御できるように、弁制御式の導管が、真空ポンプを処理チャンバに流体的に接続するために使用されてよい。これは、操作可能なプラズマ処理中に、絞り弁(不図示)又は振り子弁(不図示)などの閉ループ制御式流量制限機器を用いてなされてよい。同様に、真空ポンプ及び弁によって制御される容量結合プラズマ処理チャンバへの流体接続が用いられてもよい。
装置の動作中は、1種類以上のプロセスガスが、ガスフロー入口860及び/又は870を通じて供給されてよい。特定の実施形態では、プロセスガスは、主要ガスフロー入口860を通じてのみ、又は脇ガスフロー入口870を通じてのみ供給されてよい。場合によっては、図に示されているガスフロー入口は、例えば、より複雑なガスフロー入口や、1つ以上のシャワーヘッドなどで置き換えられてよい。ファラデーシールド849及び/又は随意の格子850が、チャンバへのプロセスガスの配送を可能にする内部通路及び穴を含んでいてよい。ファラデーシールド849及び随意の格子850は、そのいずれか又は両方が、プロセスガスの配送のためのシャワーヘッドとして機能してよい。一部の実施形態では、液体反応物が、ひとたび気化されたらガスフロー入口860及び/又は870を通ってチャンバ内へ導入されるように、液体気化・供給システムが、チャンバ824の上流に配されてよい。
RF電源841からコイル833には、RF電流をコイル833に流れさせるための無線周波数電力が供給される。コイル833を流れるRF電流は、コイル833の周囲に電磁場を生成する。この電磁場は、上方サブチャンバ802内に誘導電流を生成する。生成された様々なイオン及びラジカルとウエハ819との物理的及び化学的相互作用は、ウエハ819の特徴をエッチングするとともに、ウエハ819上に層を堆積させる。
もし、上方サブチャンバ802及び下方サブチャンバ803がともに存在するように、プラズマ格子が使用されるならば、誘導電流は、上方サブチャンバ802内に電子イオンプラズマを発生させるために、上方サブチャンバ802内に存在するガスに作用する。随意の内部プラズマ格子850は、下方サブチャンバ803内の高温電子の量を制限する。一部の実施形態では、装置は、下方サブチャンバ803内に存在するプラズマがイオン−イオンプラズマであるように設計及び動作される。
上方の電子−イオンプラズマ及び下方のイオン−イオンプラズマは、ともに、陽イオン及び陰イオンを含むだろうが、イオン−イオンプラズマの方が、陰イオン対陽イオンの比率が大きくなる。ポート822を通じて下方サブチャンバ803から、揮発性のエッチング及び/又は堆積副生成物が除去されてよい。本明細書で開示されるチャック817は、約10℃から約850℃の範囲にわたる高温で動作してよい。温度は、プロセスの動作及び具体的なレシピに依存する。
チャンバ824は、洗浄室内又は製造設備内に設置されるときに、設備(不図示)に接続されてよい。設備は、処理ガス、真空、温度制御、及び環境粒子制御を提供する配管系統を含む。これらの設備は、対象の製造設備内に設置されるときに、チャンバ824に接続される。また、チャンバ824は、移送チャンバに接続されてよく、該チャンバは、代表的な自動化を使用してロボットがチャンバ824に対して半導体ウエハを出し入れすることを可能にする。
一部の実施形態では、システムコントローラ830(1つ以上の物理的又は論理的コントローラが挙げられる)が、処理チャンバの動作の一部又は全部を制御する。システムコントローラ830は、コンピュータコントローラ650に関連して上述された1つ以上の特性のうちの任意を含んでいてよい。
図9は、真空移送モジュール938(VTM)と境界を接する様々なモジュールを伴う半導体プロセスクラスタ構造を示している。複数の貯蔵設備と処理モジュールとの間でウエハを「移送する」ための移送モジュールの配置構成は、「クラスタツール構造」システムと呼ばれてよい。ロードロック又は移送モジュールとしても知られるエアロック930が、VTM938内に示され、該VTM938は、様々な製作プロセスを実施するように個別に最適化されえる4つの処理モジュール920a〜920dを伴う。例えば、処理モジュール920a〜920dは、基板エッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、及び/又はその他の半導体プロセスを実施するように実装されてよい。一部の実施形態では、ALDと選択的エッチングとが、同じモジュール内で実施される。一部の実施形態では、ALDと選択的エッチングとが、同じツールの異なるモジュール内で実施される。基板エッチング処理モジュールは、その1つ以上(920a〜920dのうちの任意)が、本明細書で開示されるように実装されてよい、即ち、共形的な膜を堆積させるために、及びALDによって膜を選択的にエッチングするために、及びパターンをエッチングするために、及び開示される実施形態にしたがったその他の適切な機能を実現するために実装されてよい。エアロック930、及びプロセスモジュール920は、「ステーション」と呼ばれてよい。各ステーションは、それをVTM938につなぎ合わせるファセット936を有する。各ファセットの内部では、ウエハ926がそれぞれのステーション間で移動されるときの通過を検出するために、センサ1〜18が使用される。
ロボット922が、ステーション間でウエハ926を移送する。一実施形態では、ロボット922は、1本のアームを有し、別の一実施形態では、ロボット922は、2本のアームを有し、各アームは、搬送のためにウエハ926などのウエハを拾い上げるためのエンドエフェクタ924を有する。ウエハ926をロードポートモジュール(LPM)942内のカセット又は前面開閉式一体化ポッド(FOUP)934からエアロック930に移送するために、大気圧移送モジュール(ATM)940内のフロントエンドロボット932が使用される。プロセスモジュール920内のモジュールセンタ928は、ウエハ926を置くための1つの場所である。ウエハを位置合わせするために、ATM940内のアライナ944が使用される。
代表的な処理方法では、LPM942内のFOUP934の1つにウエハが入れられる。フロントエンドロボット932が、ウエハをFOUP934からアライナ944に移送し、アライナ944は、ウエハ926がエッチングされる前に又は処理される前に正しく中心合わせされることを可能にする。位置を揃えられた後、ウエハ926は、フロントエンドロボット932によってエアロック930内へ移動される。エアロック930は、ATMとVTMとの間で環境を一致させる機能を有するので、ウエハ926は、損傷されることなく2つの圧力環境間で移動することができる。エアロックモジュール930から、ウエハ926は、ロボット922によってVTM938を経てプロセスモジュール920a〜320dの1つに移動される。このウエハ移動を実現するために、ロボット922は、その各アーム上のエンドエフェクタ924を使用する。ウエハ926は、処理されると、ロボット922によってプロセスモジュール920a〜920dからエアロックモジュール930へ移動される。ここから、ウエハ926は、フロントエンドロボット932によってFOUP934の1つ又はアライナ944へ移動されてよい。
なお、留意すべきは、ウエハの移動を制御しているコンピュータが、クラスタ構造の内部にあること、又はクラスタ構造の外の生産現場内にあること、又は遠隔地にあってネットワークを通じてクラスタ構造に接続することが可能であることである。図7に関連して上述されたコントローラが、図9のツールに実装されてよい。
実験
ALDを使用して堆積された、ホウ素をドープされた酸化シリコン膜及びリンをドープされた酸化シリコン膜に対し、並びにALDを使用して堆積された、ドープされなかった(以下、「非ドープ」と呼ぶ)酸化シリコン膜に対し、実験が実施された。
膜は、200℃の堆積温度で約500Åの厚さに堆積された。エッチング速度を決定するために、膜は、CF4/CHF3エッチング化学剤に暴露された。エッチングは、ICPリアクタの中で70Vbのバイアス電圧によって600Wのプラズマ電力で点火されたプラズマを使用して、50℃及び5ミリトールで15秒にわたって実施された。スピンコートされた炭素材料に対するエッチング選択性について、推定されるエッチング選択性が計算された。結果は、表1に示されている。
Figure 0006895836
リンドープ膜のエッチング速度は、非ドープSiO2のエッチング速度よりも遅かった。ホウ素ドープ膜のエッチング速度より大きな差で、非ドープSiO2のエッチング速度よりも遅かった。これらの結果は、スピンコートされた炭素材料に対するドープ酸化シリコン材料のエッチング選択性が向上され、スピンコートされた炭素材料のエッチング速度が非ドープ酸化シリコン材料に対するよりもドープ酸化シリコン材料に対する方が速くなるだろうことを、示唆している。
結論
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、特定の変更及び修正が、添付の特許請求の範囲内でなされてよいことが明らかである。本実施形態のプロセス、システム、及び装置を実現する多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
ポジ型パターニングを使用して基板のパターニングを行う方法であって、
(a)パターニングされたコア材料であるパターンコア材料を有する基板を提供し、
(b)前記パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、
(c)前記ドープスペーサのエッチング速度が前記パターンコア材料のエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
(d)前記マスクを使用してターゲット層をエッチングする、
ことを備える方法。
適用例2:
請求項1の方法であって、
前記ドープスペーサの堆積は、1枚以上の酸化シリコン層を堆積させ、1枚以上のドーパント酸化物層を堆積させる、ことを含み、前記ドーパントは、ホウ素、ガリウム、リン、アルミニウム、及びヒ素からなる群より選択される、方法。
適用例3:
適用例1の方法であって、
前記ドープスペーサの堆積は、
(i)前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入に前記基板を暴露し、
(ii)前記基板を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入に前記基板を暴露して、前記シリコン含有前駆体と前記ドーパントとで部分的に飽和された表面を前記基板の前記表面上に形成し、
(iii)前記基板を酸化剤に暴露して、共形のドープ酸化シリコン材料を形成する、
ことを含む、方法。
適用例4:
適用例1の方法であって、
前記ドープスペーサは、約1×10 20 原子/ccから約2×10 22 原子/cc間のドーパント密度を有する、方法。
適用例5:
適用例1の方法であって、
前記ドープスペーサは、1枚以上の酸化ゲルマニウム層を堆積させることによって堆積されるゲルマニウム含有材料を含み、前記ドープスペーサは、リン又はアルゴンでドーピングされる、方法。
適用例6:
適用例2の方法であって、更に、
前記ドープスペーサを堆積させた後で、かつ前記パターンコア材料を選択的にエッチングする前に、約400℃未満の温度で前記基板にアニーリングを施すことを備える方法。
適用例7:
適用例2の方法であって、
前記ドーパントは、ホウ素であり、前記1枚以上のドーパント酸化物層の堆積は、TMB(トリメチルホウ酸塩)、TEB(トリエチルホウ酸塩)、B 2 6 (ジボラン)、トリメチルボラン、トリエチルボラン、及びこれらの組み合わせからなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。
適用例8:
適用例2の方法であって、
前記ドーパントは、リンであり、前記1枚以上のドーパント酸化物層の堆積は、リン酸トリエチル(TEPO)(PO(OC 2 5 3 )、リン酸トリメチル(TMPO)(PO(OCH 3 3 )、亜リン酸トリメチル(TMPi)(P(OCH 3 3 )、トリスジメチルアミノリン(TDMAP)(((CH 3 2 N) 3 P)、三塩化リン(PCl 3 )、トリスメチルシルキルホスフィン(TMSP)(P(Si(CH 3 3 3 )、及びオキシ塩化リン(POCl 3 )からなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。
適用例9:
適用例1ないし8のいずれか一項の方法であって、
前記パターンコア材料は、フッ化炭素ガスに前記基板を暴露し、プラズマを点火させることによって、エッチングされる、方法。
適用例10:
適用例1ないし8のいずれか一項の方法であって、
前記形成されるマスクは、約50nm未満のピッチを有する、方法。
適用例11:
適用例1ないし8のいずれか一項の方法であって、
前記ドープスペーサは、約50℃から約200℃の間の基板温度で堆積される、方法。
適用例12:
適用例1ないし8のいずれか一項の方法であって、
前記ドープスペーサは、50Åから300Åの間の厚さに堆積される、方法。
適用例13:
適用例1ないし8のいずれか一項の方法であって、
前記パターンコア材料は、炭素を含む、方法。
適用例14:
適用例3の方法であって、
前記酸化剤は、酸素、二酸化炭素、水、亜酸化窒素、及びこれらの組み合わせからなる群より選択される、方法。
適用例15:
適用例3の方法であって、
前記酸化剤への前記基板の暴露は、アルゴン、窒素、及びヘリウムからなる群より選択される不活性ガスを流すことを含む、方法。
適用例16:
適用例3の方法であって、
前記基板を収容しているプロセスチャンバが、(i)の実施と(ii)の実施との間でパージされる、方法。
適用例17:
適用例9の方法であって、
前記フッ化炭素ガスは、CF 4 、CHF 3 、CH 2 2 、及びCH 3 Fからなる群より選択される、方法。
適用例18:
基板のパターニングを行うための装置であって、
(e)1つ以上のプロセスチャンバと、
(f)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
(g)低周波数無線周波(LF・RF)発生器と、
(h)高周波数無線周波(HF・RF)発生器と、
(i)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
前記メモリは、
(i)コア材料の上に、1枚以上の酸化シリコン層を堆積させ、
(ii)酸化ホウ素、酸化ガリウム、酸化リン、酸化アルミニウム、及び酸化ヒ素とからなる群より選択される1枚以上のドーパント酸化物層を堆積させて、ドープスペーサ材料を形成し、
(iii)前記ドープスペーサ材料のエッチング速度が前記コア材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度で前記ドープスペーサ材料に対して前記コア材料を選択的にエッチングして、マスクを形成し、
(iv)前記マスクを使用してターゲット層をエッチングする、
ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF・RF発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
コントローラと、
を備える装置。
適用例19:
基板のパターニングを行うための装置であって、
(a)1つ以上のプロセスチャンバと、
(b)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
(c)低周波数無線周波(LF・RF)発生器と、
(d)高周波数無線周波(HF・RF)発生器と、
(e)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
前記メモリは、
(i)
i 前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入を導入し、
ii 前記基板の前記表面を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入を導入して、前記シリコン含有前駆体と前記ドーパントとで部分的に飽和された表面を前記基板の前記表面上に形成し、
iii 酸化剤を導入して、ドープスペーサ材料を形成する、
ことによって、コア材料の上にドープスペーサ材料を堆積させ、
(ii)前記ドープスペーサ材料のエッチング速度が前記コア材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度で前記ドープスペーサ材料に対して前記コア材料を選択的にエッチングして、マスクを形成し、
(iii)前記マスクを使用してターゲット層をエッチングする、
ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF RH発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
コントローラと、
を備える装置。

Claims (18)

  1. ポジ型パターニングを使用して基板のパターニングを行う方法であって、
    (a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
    (b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであって、ホウ素、ガリウム、リン、アルミニウム、またはヒ素、を含むドープスペーサを共形的に堆積させ、
    (c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
    (d)前記マスクを使用してターゲット層をエッチングする、
    ことを備える方法。
  2. ポジ型パターニングを使用して基板のパターニングを行う方法であって、
    (a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
    (b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、前記堆積は、1枚以上の酸化シリコン層を堆積させ、1枚以上のドーパント酸化物層を堆積させる、ことを含み、前記ドーパントは、ホウ素、ガリウム、リン、アルミニウム、及びヒ素からなる群より選択され、
    (c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
    (d)前記マスクを使用してターゲット層をエッチングする、
    ことを備える方法。
  3. ポジ型パターニングを使用して基板のパターニングを行う方法であって、
    (a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
    (b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、前記堆積は、
    (i)前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入に前記基板を暴露し、
    (ii)前記基板を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入に前記基板を暴露して、前記シリコン含有前駆体と前記ドーパント前駆体とで部分的に飽和された表面を前記基板の前記表面上に形成し、
    (iii)前記基板を酸化剤に暴露して、共形のドープ酸化シリコン材料を形成する、
    ことを含み、
    (c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
    (d)前記マスクを使用してターゲット層をエッチングする、
    ことを備える方法。
  4. 請求項1に記載の方法であって、
    前記ドープスペーサは、約1×1020原子/ccから約2×1022原子/cc間のドーパント密度を有する、方法。
  5. ポジ型パターニングを使用して基板のパターニングを行う方法であって、
    (a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
    (b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、前記ドープスペーサは、1枚以上の酸化ゲルマニウム層を堆積させることによって堆積されるゲルマニウム含有材料を含み、前記ドープスペーサは、リン又はアルゴンでドーピングされ、
    (c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
    (d)前記マスクを使用してターゲット層をエッチングする、
    ことを備える方法。
  6. 請求項2に記載の方法であって、更に、
    前記ドープスペーサを堆積させた後で、かつ前記炭素含有パターンコア材料を選択的にエッチングする前に、約400℃未満の温度で前記基板にアニーリングを施すことを備える方法。
  7. 請求項2に記載の方法であって、
    前記ドーパントは、ホウ素であり、前記1枚以上のドーパント酸化物層の堆積は、TMB(トリメチルホウ酸塩)、TEB(トリエチルホウ酸塩)、B26(ジボラン)、トリメチルボラン、トリエチルボラン、及びこれらの組み合わせからなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。
  8. 請求項2に記載の方法であって、
    前記ドーパントは、リンであり、前記1枚以上のドーパント酸化物層の堆積は、リン酸トリエチル(TEPO)(PO(OC253)、リン酸トリメチル(TMPO)(PO(OCH33)、亜リン酸トリメチル(TMPi)(P(OCH33)、トリスジメチルアミノリン(TDMAP)(((CH32N)3P)、三塩化リン(PCl3)、トリスメチルシルキルホスフィン(TMSP)(P(Si(CH333)、及びオキシ塩化リン(POCl3)からなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。
  9. 請求項1ないし8のいずれか一項に記載の方法であって、
    前記炭素含有パターンコア材料は、フッ化炭素ガスに前記基板を暴露し、プラズマを点火させることによって、エッチングされる、方法。
  10. 請求項1ないし8のいずれか一項に記載の方法であって、
    前記形成されるマスクは、約50nm未満のピッチを有する、方法。
  11. 請求項1ないし8のいずれか一項に記載の方法であって、
    前記ドープスペーサは、約50℃から約200℃の間の基板温度で堆積される、方法。
  12. 請求項1ないし8のいずれか一項に記載の方法であって、
    前記ドープスペーサは、50Åから300Åの間の厚さに堆積される、方法。
  13. 請求項3に記載の方法であって、
    前記酸化剤は、酸素、二酸化炭素、水、亜酸化窒素、及びこれらの組み合わせからなる群より選択される、方法。
  14. 請求項3に記載の方法であって、
    前記酸化剤への前記基板の暴露は、アルゴン、窒素、及びヘリウムからなる群より選択される不活性ガスを流すことを含む、方法。
  15. 請求項3に記載の方法であって、
    前記基板を収容しているプロセスチャンバが、(i)の実施と(ii)の実施との間でパージされる、方法。
  16. 請求項9に記載の方法であって、
    前記フッ化炭素ガスは、CF4、CHF3、CH22、及びCH3Fからなる群より選択される、方法。
  17. 基板のパターニングを行うための装置であって、
    (a)1つ以上のプロセスチャンバと、
    (b)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
    (c)低周波数無線周波(LF・RF)発生器と、
    (d)高周波数無線周波(HF・RF)発生器と、
    (e)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
    前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
    前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
    前記メモリは、
    (i)コア材料の上への1枚以上の酸化シリコン層の堆積を生じさせ、
    (ii)酸化ホウ素、酸化ガリウム、酸化リン、酸化アルミニウム、及び酸化ヒ素とからなる群より選択される1枚以上のドーパント酸化物層の堆積を生じさせて、ドープスペーサ材料を形成し、
    (iii)前記コア材料のエッチング速度が前記ドープスペーサ材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度による前記ドープスペーサ材料に対する前記コア材料の選択的なエッチングを生じさせて、マスクを形成し、
    (iv)前記マスクを使用してターゲット層のエッチングを生じさせる、
    ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF・RF発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
    コントローラと、
    を備える装置。
  18. 基板のパターニングを行うための装置であって、
    (a)1つ以上のプロセスチャンバと、
    (b)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
    (c)低周波数無線周波(LF・RF)発生器と、
    (d)高周波数無線周波(HF・RF)発生器と、
    (e)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
    前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
    前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
    前記メモリは、
    (i)
    i 前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入を導入し、
    ii 前記基板の前記表面を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入を導入して、前記シリコン含有前駆体と前記ドーパント前駆体とで部分的に飽和された表面を前記基板の前記表面上に形成し、
    iii 酸化剤を導入して、ドープスペーサ材料を形成する、
    ことによって、コア材料の上へのドープスペーサ材料の堆積を生じさせ、
    (ii)前記コア材料のエッチング速度が前記ドープスペーサ材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度で前記ドープスペーサ材料に対する前記コア材料の選択的なエッチングを生じさせて、マスクを形成し、
    (iii)前記マスクを使用してターゲット層のエッチングを生じさせる、
    ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF・RF発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
    コントローラと、
    を備える装置。
JP2017143195A 2016-07-29 2017-07-25 半導体パターニング用途のためのドープald膜 Active JP6895836B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662368922P 2016-07-29 2016-07-29
US62/368,922 2016-07-29
US15/279,312 US10629435B2 (en) 2016-07-29 2016-09-28 Doped ALD films for semiconductor patterning applications
US15/279,312 2016-09-28

Publications (2)

Publication Number Publication Date
JP2018061007A JP2018061007A (ja) 2018-04-12
JP6895836B2 true JP6895836B2 (ja) 2021-06-30

Family

ID=61010614

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017143195A Active JP6895836B2 (ja) 2016-07-29 2017-07-25 半導体パターニング用途のためのドープald膜

Country Status (6)

Country Link
US (1) US10629435B2 (ja)
JP (1) JP6895836B2 (ja)
KR (2) KR102273916B1 (ja)
CN (2) CN107680903B (ja)
SG (1) SG10201705999TA (ja)
TW (1) TWI682056B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024073220A1 (en) * 2022-09-28 2024-04-04 Lam Research Corporation Layered metal oxide-silicon oxide films

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10147611B1 (en) * 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
WO2019168535A1 (en) * 2018-03-01 2019-09-06 Lam Research Corporation Silicon-based deposition for semiconductor processing
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP7073924B2 (ja) * 2018-06-06 2022-05-24 東京エレクトロン株式会社 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
CN109456361B (zh) * 2018-12-14 2021-08-24 苏州祺添新材料有限公司 一种三(三烃基硅基)亚磷酸酯的合成方法
KR20210132221A (ko) * 2019-03-22 2021-11-03 램 리써치 코포레이션 도핑된 실리콘을 제공하는 방법
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
US11827514B2 (en) 2019-11-01 2023-11-28 Applied Materials, Inc. Amorphous silicon-based films resistant to crystallization
JP7412257B2 (ja) * 2019-12-20 2024-01-12 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
JPWO2021172449A1 (ja) * 2020-02-27 2021-09-02
US11232952B2 (en) * 2020-03-05 2022-01-25 Nanya Technology Corporation Semiconductor device structure with fine patterns and method for forming the same
CN113517230B (zh) * 2020-04-09 2023-12-08 长鑫存储技术有限公司 半导体结构及其形成方法
CN113621942A (zh) * 2020-05-06 2021-11-09 中国科学院微电子研究所 一种铝掺杂氧化镓膜及其制备方法
JP2023525710A (ja) * 2020-05-11 2023-06-19 ラム リサーチ コーポレーション Cd制御をパターン化するための自動化フィードフォワードおよびフィードバックシーケンス
KR102416148B1 (ko) 2020-06-15 2022-07-04 고려대학교 산학협력단 최적화된 패시베이션층을 포함하는 마이크로 발광 다이오드 및 그 제조 방법
US11264474B1 (en) * 2020-08-18 2022-03-01 Nanya Technology Corporation Semiconductor device with boron nitride layer and method for fabricating the same
US11961739B2 (en) * 2020-10-05 2024-04-16 Applied Materials, Inc. Boron concentration tunability in boron-silicon films
US20220189771A1 (en) * 2020-12-10 2022-06-16 Applied Materials, Inc. Underlayer film for semiconductor device formation
US20220341034A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Metal-doped boron films
US20230402285A1 (en) * 2022-06-14 2023-12-14 Applied Materials, Inc. Method of forming carbon-based spacer for euv photoresist patterns

Family Cites Families (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5202272A (en) * 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) * 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) * 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) * 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6080676A (en) * 1998-09-17 2000-06-27 Advanced Micro Devices, Inc. Device and method for etching spacers formed upon an integrated circuit gate conductor
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) * 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6294102B1 (en) * 1999-05-05 2001-09-25 International Business Machines Corporation Selective dry etch of a dielectric film
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
AU3267201A (en) 1999-11-02 2001-05-14 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6890853B2 (en) 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) * 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US7301210B2 (en) * 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) * 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR20090131821A (ko) * 2008-06-19 2009-12-30 삼성전자주식회사 미세 패턴 형성 방법
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) * 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) * 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
EP2730676A1 (en) 2010-04-01 2014-05-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for deposition of silicon nitride layers
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
CN102906305B (zh) * 2010-04-15 2016-01-13 诺发系统公司 气体和液体的喷射的方法和装置
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) * 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) * 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
JP2012169408A (ja) 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) * 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) * 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
KR102151611B1 (ko) * 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 초-콘포말한 탄소 막 증착
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) * 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) * 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
CN105917445B (zh) 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US20160049307A1 (en) * 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) * 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) * 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) * 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) * 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) * 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
WO2017053316A1 (en) 2015-09-24 2017-03-30 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10141417B2 (en) * 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) * 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) * 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024073220A1 (en) * 2022-09-28 2024-04-04 Lam Research Corporation Layered metal oxide-silicon oxide films

Also Published As

Publication number Publication date
JP2018061007A (ja) 2018-04-12
TWI682056B (zh) 2020-01-11
CN113488379A (zh) 2021-10-08
US20180033622A1 (en) 2018-02-01
SG10201705999TA (en) 2018-02-27
TW201821637A (zh) 2018-06-16
KR102510157B1 (ko) 2023-03-14
KR20180013745A (ko) 2018-02-07
US10629435B2 (en) 2020-04-21
CN107680903B (zh) 2021-06-15
CN107680903A (zh) 2018-02-09
KR102273916B1 (ko) 2021-07-06
KR20210086594A (ko) 2021-07-08

Similar Documents

Publication Publication Date Title
JP6895836B2 (ja) 半導体パターニング用途のためのドープald膜
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
CN111247269B (zh) 介电膜的几何选择性沉积
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
JP2015144268A (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR20210016063A (ko) 금속-함유 하드마스크 박막들의 선택적인 성장
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
TW202409322A (zh) 橫向間隙填充
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180608

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190528

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200317

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200622

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201224

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20201224

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210108

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210511

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210608

R150 Certificate of patent or registration of utility model

Ref document number: 6895836

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150