JP6895836B2 - 半導体パターニング用途のためのドープald膜 - Google Patents
半導体パターニング用途のためのドープald膜 Download PDFInfo
- Publication number
- JP6895836B2 JP6895836B2 JP2017143195A JP2017143195A JP6895836B2 JP 6895836 B2 JP6895836 B2 JP 6895836B2 JP 2017143195 A JP2017143195 A JP 2017143195A JP 2017143195 A JP2017143195 A JP 2017143195A JP 6895836 B2 JP6895836 B2 JP 6895836B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- spacer
- core material
- dope
- carbon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02129—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
Description
図3を参照すると、動作302では、基板は、スペーサ材料前駆体を基板表面上に吸着させるために、そのスペーサ材料前駆体に暴露される。一部の実施形態では、前駆体は、表面活性部位の少なくとも約80%に吸着されてよい。一部の実施形態では、前駆体は、その前駆体がコア材料の露出表面上に及びターゲット層の露出領域上に吸着するように基板の表面を完全に飽和させるために、基板上に吸着してよい。基板をスペーサ材料前駆体に暴露する持続時間は、約0.1秒から約2秒の間であってよい。
図6は、低圧環境を維持するためのプロセスチャンバボディ602を有する原子層堆積(ALD)プロセスステーション600の一実施形態の説明図を示している。複数のALDプロセスステーション600が、共通の低圧プロセスツール環境内に含まれてよい。例えば、図7は、マルチステーション型処理ツール700の一実施形態を示している。一部の実施形態では、以下で詳細に論じられるものを含むALDプロセスステーション600の、1つ以上のハードウェアパラメータが、1つ以上のコンピュータコントローラ650によってプログラムで調節されてよいことがわかる。
ALDを使用して堆積された、ホウ素をドープされた酸化シリコン膜及びリンをドープされた酸化シリコン膜に対し、並びにALDを使用して堆積された、ドープされなかった(以下、「非ドープ」と呼ぶ)酸化シリコン膜に対し、実験が実施された。
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、特定の変更及び修正が、添付の特許請求の範囲内でなされてよいことが明らかである。本実施形態のプロセス、システム、及び装置を実現する多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
ポジ型パターニングを使用して基板のパターニングを行う方法であって、
(a)パターニングされたコア材料であるパターンコア材料を有する基板を提供し、
(b)前記パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、
(c)前記ドープスペーサのエッチング速度が前記パターンコア材料のエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
(d)前記マスクを使用してターゲット層をエッチングする、
ことを備える方法。
適用例2:
請求項1の方法であって、
前記ドープスペーサの堆積は、1枚以上の酸化シリコン層を堆積させ、1枚以上のドーパント酸化物層を堆積させる、ことを含み、前記ドーパントは、ホウ素、ガリウム、リン、アルミニウム、及びヒ素からなる群より選択される、方法。
適用例3:
適用例1の方法であって、
前記ドープスペーサの堆積は、
(i)前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入に前記基板を暴露し、
(ii)前記基板を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入に前記基板を暴露して、前記シリコン含有前駆体と前記ドーパントとで部分的に飽和された表面を前記基板の前記表面上に形成し、
(iii)前記基板を酸化剤に暴露して、共形のドープ酸化シリコン材料を形成する、
ことを含む、方法。
適用例4:
適用例1の方法であって、
前記ドープスペーサは、約1×10 20 原子/ccから約2×10 22 原子/cc間のドーパント密度を有する、方法。
適用例5:
適用例1の方法であって、
前記ドープスペーサは、1枚以上の酸化ゲルマニウム層を堆積させることによって堆積されるゲルマニウム含有材料を含み、前記ドープスペーサは、リン又はアルゴンでドーピングされる、方法。
適用例6:
適用例2の方法であって、更に、
前記ドープスペーサを堆積させた後で、かつ前記パターンコア材料を選択的にエッチングする前に、約400℃未満の温度で前記基板にアニーリングを施すことを備える方法。
適用例7:
適用例2の方法であって、
前記ドーパントは、ホウ素であり、前記1枚以上のドーパント酸化物層の堆積は、TMB(トリメチルホウ酸塩)、TEB(トリエチルホウ酸塩)、B 2 H 6 (ジボラン)、トリメチルボラン、トリエチルボラン、及びこれらの組み合わせからなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。
適用例8:
適用例2の方法であって、
前記ドーパントは、リンであり、前記1枚以上のドーパント酸化物層の堆積は、リン酸トリエチル(TEPO)(PO(OC 2 H 5 ) 3 )、リン酸トリメチル(TMPO)(PO(OCH 3 ) 3 )、亜リン酸トリメチル(TMPi)(P(OCH 3 ) 3 )、トリスジメチルアミノリン(TDMAP)(((CH 3 ) 2 N) 3 P)、三塩化リン(PCl 3 )、トリスメチルシルキルホスフィン(TMSP)(P(Si(CH 3 ) 3 ) 3 )、及びオキシ塩化リン(POCl 3 )からなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。
適用例9:
適用例1ないし8のいずれか一項の方法であって、
前記パターンコア材料は、フッ化炭素ガスに前記基板を暴露し、プラズマを点火させることによって、エッチングされる、方法。
適用例10:
適用例1ないし8のいずれか一項の方法であって、
前記形成されるマスクは、約50nm未満のピッチを有する、方法。
適用例11:
適用例1ないし8のいずれか一項の方法であって、
前記ドープスペーサは、約50℃から約200℃の間の基板温度で堆積される、方法。
適用例12:
適用例1ないし8のいずれか一項の方法であって、
前記ドープスペーサは、50Åから300Åの間の厚さに堆積される、方法。
適用例13:
適用例1ないし8のいずれか一項の方法であって、
前記パターンコア材料は、炭素を含む、方法。
適用例14:
適用例3の方法であって、
前記酸化剤は、酸素、二酸化炭素、水、亜酸化窒素、及びこれらの組み合わせからなる群より選択される、方法。
適用例15:
適用例3の方法であって、
前記酸化剤への前記基板の暴露は、アルゴン、窒素、及びヘリウムからなる群より選択される不活性ガスを流すことを含む、方法。
適用例16:
適用例3の方法であって、
前記基板を収容しているプロセスチャンバが、(i)の実施と(ii)の実施との間でパージされる、方法。
適用例17:
適用例9の方法であって、
前記フッ化炭素ガスは、CF 4 、CHF 3 、CH 2 F 2 、及びCH 3 Fからなる群より選択される、方法。
適用例18:
基板のパターニングを行うための装置であって、
(e)1つ以上のプロセスチャンバと、
(f)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
(g)低周波数無線周波(LF・RF)発生器と、
(h)高周波数無線周波(HF・RF)発生器と、
(i)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
前記メモリは、
(i)コア材料の上に、1枚以上の酸化シリコン層を堆積させ、
(ii)酸化ホウ素、酸化ガリウム、酸化リン、酸化アルミニウム、及び酸化ヒ素とからなる群より選択される1枚以上のドーパント酸化物層を堆積させて、ドープスペーサ材料を形成し、
(iii)前記ドープスペーサ材料のエッチング速度が前記コア材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度で前記ドープスペーサ材料に対して前記コア材料を選択的にエッチングして、マスクを形成し、
(iv)前記マスクを使用してターゲット層をエッチングする、
ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF・RF発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
コントローラと、
を備える装置。
適用例19:
基板のパターニングを行うための装置であって、
(a)1つ以上のプロセスチャンバと、
(b)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
(c)低周波数無線周波(LF・RF)発生器と、
(d)高周波数無線周波(HF・RF)発生器と、
(e)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
前記メモリは、
(i)
i 前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入を導入し、
ii 前記基板の前記表面を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入を導入して、前記シリコン含有前駆体と前記ドーパントとで部分的に飽和された表面を前記基板の前記表面上に形成し、
iii 酸化剤を導入して、ドープスペーサ材料を形成する、
ことによって、コア材料の上にドープスペーサ材料を堆積させ、
(ii)前記ドープスペーサ材料のエッチング速度が前記コア材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度で前記ドープスペーサ材料に対して前記コア材料を選択的にエッチングして、マスクを形成し、
(iii)前記マスクを使用してターゲット層をエッチングする、
ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF RH発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
コントローラと、
を備える装置。
Claims (18)
- ポジ型パターニングを使用して基板のパターニングを行う方法であって、
(a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
(b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであって、ホウ素、ガリウム、リン、アルミニウム、またはヒ素、を含むドープスペーサを共形的に堆積させ、
(c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
(d)前記マスクを使用してターゲット層をエッチングする、
ことを備える方法。 - ポジ型パターニングを使用して基板のパターニングを行う方法であって、
(a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
(b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、前記堆積は、1枚以上の酸化シリコン層を堆積させ、1枚以上のドーパント酸化物層を堆積させる、ことを含み、前記ドーパントは、ホウ素、ガリウム、リン、アルミニウム、及びヒ素からなる群より選択され、
(c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
(d)前記マスクを使用してターゲット層をエッチングする、
ことを備える方法。 - ポジ型パターニングを使用して基板のパターニングを行う方法であって、
(a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
(b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、前記堆積は、
(i)前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入に前記基板を暴露し、
(ii)前記基板を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入に前記基板を暴露して、前記シリコン含有前駆体と前記ドーパント前駆体とで部分的に飽和された表面を前記基板の前記表面上に形成し、
(iii)前記基板を酸化剤に暴露して、共形のドープ酸化シリコン材料を形成する、
ことを含み、
(c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
(d)前記マスクを使用してターゲット層をエッチングする、
ことを備える方法。 - 請求項1に記載の方法であって、
前記ドープスペーサは、約1×1020原子/ccから約2×1022原子/cc間のドーパント密度を有する、方法。 - ポジ型パターニングを使用して基板のパターニングを行う方法であって、
(a)パターニングされた、炭素を含むコア材料である、炭素含有パターンコア材料を有する基板を提供し、
(b)前記炭素含有パターンコア材料の上に、ドーピングされたスペーサであるドープスペーサを共形的に堆積させ、前記ドープスペーサは、1枚以上の酸化ゲルマニウム層を堆積させることによって堆積されるゲルマニウム含有材料を含み、前記ドープスペーサは、リン又はアルゴンでドーピングされ、
(c)前記炭素含有パターンコア材料のエッチング速度が前記ドープスペーサのエッチング速度の約5倍から約20倍の間の速さであるエッチング速度で前記炭素含有パターンコア材料を前記ドープスペーサに対して選択的にエッチングして、マスクを形成し、
(d)前記マスクを使用してターゲット層をエッチングする、
ことを備える方法。 - 請求項2に記載の方法であって、更に、
前記ドープスペーサを堆積させた後で、かつ前記炭素含有パターンコア材料を選択的にエッチングする前に、約400℃未満の温度で前記基板にアニーリングを施すことを備える方法。 - 請求項2に記載の方法であって、
前記ドーパントは、ホウ素であり、前記1枚以上のドーパント酸化物層の堆積は、TMB(トリメチルホウ酸塩)、TEB(トリエチルホウ酸塩)、B2H6(ジボラン)、トリメチルボラン、トリエチルボラン、及びこれらの組み合わせからなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。 - 請求項2に記載の方法であって、
前記ドーパントは、リンであり、前記1枚以上のドーパント酸化物層の堆積は、リン酸トリエチル(TEPO)(PO(OC2H5)3)、リン酸トリメチル(TMPO)(PO(OCH3)3)、亜リン酸トリメチル(TMPi)(P(OCH3)3)、トリスジメチルアミノリン(TDMAP)(((CH3)2N)3P)、三塩化リン(PCl3)、トリスメチルシルキルホスフィン(TMSP)(P(Si(CH3)3)3)、及びオキシ塩化リン(POCl3)からなる群より選択されるドーパント前駆体に前記基板を暴露することを含む、方法。 - 請求項1ないし8のいずれか一項に記載の方法であって、
前記炭素含有パターンコア材料は、フッ化炭素ガスに前記基板を暴露し、プラズマを点火させることによって、エッチングされる、方法。 - 請求項1ないし8のいずれか一項に記載の方法であって、
前記形成されるマスクは、約50nm未満のピッチを有する、方法。 - 請求項1ないし8のいずれか一項に記載の方法であって、
前記ドープスペーサは、約50℃から約200℃の間の基板温度で堆積される、方法。 - 請求項1ないし8のいずれか一項に記載の方法であって、
前記ドープスペーサは、50Åから300Åの間の厚さに堆積される、方法。 - 請求項3に記載の方法であって、
前記酸化剤は、酸素、二酸化炭素、水、亜酸化窒素、及びこれらの組み合わせからなる群より選択される、方法。 - 請求項3に記載の方法であって、
前記酸化剤への前記基板の暴露は、アルゴン、窒素、及びヘリウムからなる群より選択される不活性ガスを流すことを含む、方法。 - 請求項3に記載の方法であって、
前記基板を収容しているプロセスチャンバが、(i)の実施と(ii)の実施との間でパージされる、方法。 - 請求項9に記載の方法であって、
前記フッ化炭素ガスは、CF4、CHF3、CH2F2、及びCH3Fからなる群より選択される、方法。 - 基板のパターニングを行うための装置であって、
(a)1つ以上のプロセスチャンバと、
(b)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
(c)低周波数無線周波(LF・RF)発生器と、
(d)高周波数無線周波(HF・RF)発生器と、
(e)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
前記メモリは、
(i)コア材料の上への1枚以上の酸化シリコン層の堆積を生じさせ、
(ii)酸化ホウ素、酸化ガリウム、酸化リン、酸化アルミニウム、及び酸化ヒ素とからなる群より選択される1枚以上のドーパント酸化物層の堆積を生じさせて、ドープスペーサ材料を形成し、
(iii)前記コア材料のエッチング速度が前記ドープスペーサ材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度による前記ドープスペーサ材料に対する前記コア材料の選択的なエッチングを生じさせて、マスクを形成し、
(iv)前記マスクを使用してターゲット層のエッチングを生じさせる、
ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF・RF発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
コントローラと、
を備える装置。 - 基板のパターニングを行うための装置であって、
(a)1つ以上のプロセスチャンバと、
(b)前記1つ以上のプロセスチャンバ及び関連の流量制御ハードウェアへの1つ以上のガス入り口と、
(c)低周波数無線周波(LF・RF)発生器と、
(d)高周波数無線周波(HF・RF)発生器と、
(e)少なくとも1つのプロセッサ、及びメモリを有するコントローラであって、
前記少なくとも1つのプロセッサ、及び前記メモリは、互いに通信可能式に接続され、
前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LF・RF発生器、及び前記HF・RF発生器に少なくとも動作可能式に接続され、
前記メモリは、
(i)
i 前記基板の表面を飽和させるのに十分でない持続時間にわたり、シリコン含有前駆体の第1の投入を導入し、
ii 前記基板の前記表面を飽和させるのに十分でない持続時間にわたり、ドーパント前駆体の第2の投入を導入して、前記シリコン含有前駆体と前記ドーパント前駆体とで部分的に飽和された表面を前記基板の前記表面上に形成し、
iii 酸化剤を導入して、ドープスペーサ材料を形成する、
ことによって、コア材料の上へのドープスペーサ材料の堆積を生じさせ、
(ii)前記コア材料のエッチング速度が前記ドープスペーサ材料のエッチング速度の約5倍から約20倍の速さであるエッチング速度で前記ドープスペーサ材料に対する前記コア材料の選択的なエッチングを生じさせて、マスクを形成し、
(iii)前記マスクを使用してターゲット層のエッチングを生じさせる、
ように、前記少なくとも1つのプロセッサを制御して、前記流量制御ハードウェア、前記HF・RF発生器、及び前記LF・RF発生器を少なくとも制御するための、コンピュータ実行可能命令を格納する、
コントローラと、
を備える装置。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662368922P | 2016-07-29 | 2016-07-29 | |
US62/368,922 | 2016-07-29 | ||
US15/279,312 US10629435B2 (en) | 2016-07-29 | 2016-09-28 | Doped ALD films for semiconductor patterning applications |
US15/279,312 | 2016-09-28 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2018061007A JP2018061007A (ja) | 2018-04-12 |
JP6895836B2 true JP6895836B2 (ja) | 2021-06-30 |
Family
ID=61010614
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017143195A Active JP6895836B2 (ja) | 2016-07-29 | 2017-07-25 | 半導体パターニング用途のためのドープald膜 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10629435B2 (ja) |
JP (1) | JP6895836B2 (ja) |
KR (2) | KR102273916B1 (ja) |
CN (2) | CN107680903B (ja) |
SG (1) | SG10201705999TA (ja) |
TW (1) | TWI682056B (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2024073220A1 (en) * | 2022-09-28 | 2024-04-04 | Lam Research Corporation | Layered metal oxide-silicon oxide films |
Families Citing this family (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
JP6814057B2 (ja) * | 2017-01-27 | 2021-01-13 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US10147611B1 (en) * | 2017-08-28 | 2018-12-04 | Nanya Technology Corporation | Method for preparing semiconductor structures |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
JP6833657B2 (ja) * | 2017-11-07 | 2021-02-24 | 東京エレクトロン株式会社 | 基板をプラズマエッチングする方法 |
US11276572B2 (en) * | 2017-12-08 | 2022-03-15 | Tokyo Electron Limited | Technique for multi-patterning substrates |
WO2019168535A1 (en) * | 2018-03-01 | 2019-09-06 | Lam Research Corporation | Silicon-based deposition for semiconductor processing |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
JP7073924B2 (ja) * | 2018-06-06 | 2022-05-24 | 東京エレクトロン株式会社 | 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置 |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
JP7079686B2 (ja) * | 2018-07-27 | 2022-06-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
CN109456361B (zh) * | 2018-12-14 | 2021-08-24 | 苏州祺添新材料有限公司 | 一种三(三烃基硅基)亚磷酸酯的合成方法 |
KR20210132221A (ko) * | 2019-03-22 | 2021-11-03 | 램 리써치 코포레이션 | 도핑된 실리콘을 제공하는 방법 |
US11315787B2 (en) * | 2019-04-17 | 2022-04-26 | Applied Materials, Inc. | Multiple spacer patterning schemes |
US11827514B2 (en) | 2019-11-01 | 2023-11-28 | Applied Materials, Inc. | Amorphous silicon-based films resistant to crystallization |
JP7412257B2 (ja) * | 2019-12-20 | 2024-01-12 | 東京エレクトロン株式会社 | エッチング方法、基板処理装置、及び基板処理システム |
JPWO2021172449A1 (ja) * | 2020-02-27 | 2021-09-02 | ||
US11232952B2 (en) * | 2020-03-05 | 2022-01-25 | Nanya Technology Corporation | Semiconductor device structure with fine patterns and method for forming the same |
CN113517230B (zh) * | 2020-04-09 | 2023-12-08 | 长鑫存储技术有限公司 | 半导体结构及其形成方法 |
CN113621942A (zh) * | 2020-05-06 | 2021-11-09 | 中国科学院微电子研究所 | 一种铝掺杂氧化镓膜及其制备方法 |
JP2023525710A (ja) * | 2020-05-11 | 2023-06-19 | ラム リサーチ コーポレーション | Cd制御をパターン化するための自動化フィードフォワードおよびフィードバックシーケンス |
KR102416148B1 (ko) | 2020-06-15 | 2022-07-04 | 고려대학교 산학협력단 | 최적화된 패시베이션층을 포함하는 마이크로 발광 다이오드 및 그 제조 방법 |
US11264474B1 (en) * | 2020-08-18 | 2022-03-01 | Nanya Technology Corporation | Semiconductor device with boron nitride layer and method for fabricating the same |
US11961739B2 (en) * | 2020-10-05 | 2024-04-16 | Applied Materials, Inc. | Boron concentration tunability in boron-silicon films |
US20220189771A1 (en) * | 2020-12-10 | 2022-06-16 | Applied Materials, Inc. | Underlayer film for semiconductor device formation |
US20220341034A1 (en) * | 2021-04-26 | 2022-10-27 | Applied Materials, Inc. | Metal-doped boron films |
US20230402285A1 (en) * | 2022-06-14 | 2023-12-14 | Applied Materials, Inc. | Method of forming carbon-based spacer for euv photoresist patterns |
Family Cites Families (281)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR93097E (fr) | 1965-10-11 | 1969-02-07 | Ibm | Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films. |
US4158717A (en) | 1977-02-14 | 1979-06-19 | Varian Associates, Inc. | Silicon nitride film and method of deposition |
US4419809A (en) * | 1981-12-30 | 1983-12-13 | International Business Machines Corporation | Fabrication process of sub-micrometer channel length MOSFETs |
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
US4575921A (en) | 1983-11-04 | 1986-03-18 | General Motors Corporation | Silicon nitride formation and use in self-aligned semiconductor device manufacturing method |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
EP0313683A1 (en) | 1987-10-30 | 1989-05-03 | International Business Machines Corporation | Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element |
US5420067A (en) | 1990-09-28 | 1995-05-30 | The United States Of America As Represented By The Secretary Of The Navy | Method of fabricatring sub-half-micron trenches and holes |
US5091332A (en) | 1990-11-19 | 1992-02-25 | Intel Corporation | Semiconductor field oxidation process |
EP0519079B1 (en) | 1991-01-08 | 1999-03-03 | Fujitsu Limited | Process for forming silicon oxide film |
US5202272A (en) * | 1991-03-25 | 1993-04-13 | International Business Machines Corporation | Field effect transistor formed with deep-submicron gate |
US5230929A (en) | 1992-07-20 | 1993-07-27 | Dow Corning Corporation | Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
US5528719A (en) * | 1993-10-26 | 1996-06-18 | Sumitomo Metal Mining Company Limited | Optical fiber guide structure and method of fabricating same |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US5731235A (en) | 1996-10-30 | 1998-03-24 | Micron Technology, Inc. | Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor |
US5891805A (en) | 1996-12-13 | 1999-04-06 | Intel Corporation | Method of forming contacts |
US6039834A (en) | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6153519A (en) | 1997-03-31 | 2000-11-28 | Motorola, Inc. | Method of forming a barrier layer |
US6225175B1 (en) * | 1997-06-20 | 2001-05-01 | Texas Instruments Incorporated | Process for defining ultra-thin geometries |
US5854105A (en) * | 1997-11-05 | 1998-12-29 | Vanguard International Semiconductor Corporation | Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US5976990A (en) | 1998-01-09 | 1999-11-02 | Micron Technology, Inc. | Method for optimization of thin film deposition |
US6080676A (en) * | 1998-09-17 | 2000-06-27 | Advanced Micro Devices, Inc. | Device and method for etching spacers formed upon an integrated circuit gate conductor |
US6380056B1 (en) | 1998-10-23 | 2002-04-30 | Taiwan Semiconductor Manufacturing Company | Lightly nitridation surface for preparing thin-gate oxides |
US6197701B1 (en) | 1998-10-23 | 2001-03-06 | Taiwan Semiconductor Manufacturing Company | Lightly nitridation surface for preparing thin-gate oxides |
US6228779B1 (en) | 1998-11-06 | 2001-05-08 | Novellus Systems, Inc. | Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology |
US6403416B1 (en) * | 1999-01-07 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM) |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
US6294102B1 (en) * | 1999-05-05 | 2001-09-25 | International Business Machines Corporation | Selective dry etch of a dielectric film |
KR100340716B1 (ko) | 1999-10-29 | 2002-06-20 | 윤종용 | 실리콘 질화막 형성방법 |
AU3267201A (en) | 1999-11-02 | 2001-05-14 | Tokyo Electron Limited | Method and apparatus for supercritical processing of multiple workpieces |
KR100338125B1 (ko) | 1999-12-31 | 2002-05-24 | 구본준, 론 위라하디락사 | 박막 트랜지스터 및 그 제조방법 |
WO2001066832A2 (en) | 2000-03-07 | 2001-09-13 | Asm America, Inc. | Graded thin films |
US6890853B2 (en) | 2000-04-25 | 2005-05-10 | Tokyo Electron Limited | Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module |
KR100366621B1 (ko) | 2000-06-28 | 2003-01-09 | 삼성전자 주식회사 | 반도체 소자의 도전성 콘택체를 형성하는 방법 |
US6632741B1 (en) | 2000-07-19 | 2003-10-14 | International Business Machines Corporation | Self-trimming method on looped patterns |
US6548368B1 (en) | 2000-08-23 | 2003-04-15 | Applied Materials, Inc. | Method of forming a MIS capacitor |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
JP3696119B2 (ja) | 2001-04-26 | 2005-09-14 | 株式会社日立製作所 | 半導体装置、及び半導体装置の製造方法 |
US6709928B1 (en) | 2001-07-31 | 2004-03-23 | Cypress Semiconductor Corporation | Semiconductor device having silicon-rich layer and method of manufacturing such a device |
JP4116283B2 (ja) | 2001-11-30 | 2008-07-09 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法 |
US6638879B2 (en) | 2001-12-06 | 2003-10-28 | Macronix International Co., Ltd. | Method for forming nitride spacer by using atomic layer deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
KR20030081144A (ko) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
US6518167B1 (en) | 2002-04-16 | 2003-02-11 | Advanced Micro Devices, Inc. | Method of forming a metal or metal nitride interface layer between silicon nitride and copper |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100469126B1 (ko) | 2002-06-05 | 2005-01-29 | 삼성전자주식회사 | 수소 함유량이 적은 박막 형성방법 |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
JP5005170B2 (ja) | 2002-07-19 | 2012-08-22 | エーエスエム アメリカ インコーポレイテッド | 超高品質シリコン含有化合物層の形成方法 |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
CN100567564C (zh) | 2002-12-20 | 2009-12-09 | 应用材料有限公司 | 形成高质量的低温氮化硅层的方法和设备 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7125582B2 (en) | 2003-07-30 | 2006-10-24 | Intel Corporation | Low-temperature silicon nitride deposition |
DE10335099B4 (de) | 2003-07-31 | 2006-06-08 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
US20050227017A1 (en) | 2003-10-31 | 2005-10-13 | Yoshihide Senzaki | Low temperature deposition of silicon nitride |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
JP2005210076A (ja) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法 |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050170104A1 (en) | 2004-01-29 | 2005-08-04 | Applied Materials, Inc. | Stress-tuned, single-layer silicon nitride film |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
US7585396B2 (en) | 2004-06-25 | 2009-09-08 | Guardian Industries Corp. | Coated article with ion treated overcoat layer and corresponding method |
US7550067B2 (en) | 2004-06-25 | 2009-06-23 | Guardian Industries Corp. | Coated article with ion treated underlayer and corresponding method |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US7271464B2 (en) | 2004-08-24 | 2007-09-18 | Micron Technology, Inc. | Liner for shallow trench isolation |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US7910288B2 (en) * | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
JP4701691B2 (ja) | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
US7429820B2 (en) | 2004-12-07 | 2008-09-30 | Motorola, Inc. | Field emission display with electron trajectory field shaping |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7560390B2 (en) | 2005-06-02 | 2009-07-14 | Micron Technology, Inc. | Multiple spacer steps for pitch multiplication |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7700492B2 (en) * | 2005-06-22 | 2010-04-20 | Tokyo Electron Limited | Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
US7465669B2 (en) | 2005-11-12 | 2008-12-16 | Applied Materials, Inc. | Method of fabricating a silicon nitride stack |
KR100714305B1 (ko) | 2005-12-26 | 2007-05-02 | 삼성전자주식회사 | 자기정렬 이중패턴의 형성방법 |
US7301210B2 (en) * | 2006-01-12 | 2007-11-27 | International Business Machines Corporation | Method and structure to process thick and thin fins and variable fin to fin spacing |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7491630B2 (en) * | 2006-03-15 | 2009-02-17 | Freescale Semiconductor, Inc. | Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2007281181A (ja) | 2006-04-06 | 2007-10-25 | Elpida Memory Inc | 半導体装置の製造方法 |
US7902074B2 (en) * | 2006-04-07 | 2011-03-08 | Micron Technology, Inc. | Simplified pitch doubling process flow |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7611980B2 (en) * | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP5241499B2 (ja) | 2006-09-19 | 2013-07-17 | 東京エレクトロン株式会社 | プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
US20080119057A1 (en) | 2006-11-20 | 2008-05-22 | Applied Materials,Inc. | Method of clustering sequential processing for a gate stack structure |
US20080124946A1 (en) | 2006-11-28 | 2008-05-29 | Air Products And Chemicals, Inc. | Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
US7488659B2 (en) | 2007-03-28 | 2009-02-10 | International Business Machines Corporation | Structure and methods for stress concentrating spacer |
US20080242032A1 (en) | 2007-03-29 | 2008-10-02 | Texas Instruments Incorporated | Carbon-Doped Epitaxial SiGe |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7807578B2 (en) * | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR100956210B1 (ko) | 2007-06-19 | 2010-05-04 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법 |
US7910497B2 (en) | 2007-07-30 | 2011-03-22 | Applied Materials, Inc. | Method of forming dielectric layers on a substrate and apparatus therefor |
JP5098882B2 (ja) | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
EP2193541A1 (en) | 2007-09-18 | 2010-06-09 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming silicon-containing films |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US8440569B2 (en) | 2007-12-07 | 2013-05-14 | Cadence Design Systems, Inc. | Method of eliminating a lithography operation |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
US7678715B2 (en) | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
KR20090131821A (ko) * | 2008-06-19 | 2009-12-30 | 삼성전자주식회사 | 미세 패턴 형성 방법 |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8076208B2 (en) * | 2008-07-03 | 2011-12-13 | Micron Technology, Inc. | Method for forming transistor with high breakdown voltage using pitch multiplication technique |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
JP2010103303A (ja) | 2008-10-23 | 2010-05-06 | Toshiba Corp | 磁気抵抗素子及びその製造方法 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
US7833906B2 (en) | 2008-12-11 | 2010-11-16 | Asm International N.V. | Titanium silicon nitride deposition |
US9640396B2 (en) | 2009-01-07 | 2017-05-02 | Brewer Science Inc. | Spin-on spacer materials for double- and triple-patterning lithography |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8268727B2 (en) | 2009-04-20 | 2012-09-18 | GlobalFoundries, Inc. | Methods for fabricating FinFET semiconductor devices using planarized spacers |
CN102484070B (zh) | 2009-06-26 | 2014-12-10 | 康奈尔大学 | 用于铝-硅氮化物的化学气相沉积处理 |
KR20110002208A (ko) | 2009-07-01 | 2011-01-07 | 삼성전자주식회사 | 반도체 소자의 형성방법 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
US8105901B2 (en) * | 2009-07-27 | 2012-01-31 | International Business Machines Corporation | Method for double pattern density |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
US8354331B2 (en) * | 2009-12-01 | 2013-01-15 | International Business Machines Corporation | Multiplying pattern density by single sidewall imaging transfer |
US8021949B2 (en) * | 2009-12-01 | 2011-09-20 | International Business Machines Corporation | Method and structure for forming finFETs with multiple doping regions on a same chip |
CN102652186A (zh) | 2009-12-22 | 2012-08-29 | 应用材料公司 | 利用持续的等离子体的pecvd多重步骤处理 |
JP2011192776A (ja) | 2010-03-15 | 2011-09-29 | Toshiba Corp | 半導体装置の製造方法 |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US20110244142A1 (en) * | 2010-03-30 | 2011-10-06 | Applied Materials, Inc. | Nitrogen doped amorphous carbon hardmask |
EP2730676A1 (en) | 2010-04-01 | 2014-05-14 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method for deposition of silicon nitride layers |
US9892917B2 (en) * | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8637411B2 (en) * | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9390909B2 (en) * | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
CN102906305B (zh) * | 2010-04-15 | 2016-01-13 | 诺发系统公司 | 气体和液体的喷射的方法和装置 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
WO2012039833A2 (en) | 2010-09-24 | 2012-03-29 | Applied Materials, Inc. | Low temperature silicon carbide deposition process |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
US8679914B2 (en) | 2010-11-02 | 2014-03-25 | Micron Technology, Inc. | Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material |
US8288083B2 (en) | 2010-11-05 | 2012-10-16 | Micron Technology, Inc. | Methods of forming patterned masks |
KR20120062385A (ko) * | 2010-12-06 | 2012-06-14 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자의 형성방법 |
KR101225601B1 (ko) * | 2010-12-16 | 2013-01-24 | 한국과학기술원 | 대면적 나노스케일 패턴형성방법 |
JP5682290B2 (ja) * | 2010-12-20 | 2015-03-11 | 東京エレクトロン株式会社 | 炭素含有薄膜のスリミング方法及び酸化装置 |
JP5689398B2 (ja) | 2010-12-21 | 2015-03-25 | 東京エレクトロン株式会社 | 窒化シリコン膜の成膜方法及び成膜装置 |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
KR101172272B1 (ko) | 2010-12-30 | 2012-08-09 | 에스케이하이닉스 주식회사 | 매립비트라인을 구비한 반도체장치 제조 방법 |
JP2012169408A (ja) | 2011-02-14 | 2012-09-06 | Taiyo Nippon Sanso Corp | マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜 |
US8883649B2 (en) | 2011-03-23 | 2014-11-11 | International Business Machines Corporation | Sidewall image transfer process |
EP2694700B1 (en) | 2011-04-07 | 2016-11-16 | Picosun Oy | Atomic layer deposition with plasma source |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US8298954B1 (en) * | 2011-05-06 | 2012-10-30 | International Business Machines Corporation | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
KR102084901B1 (ko) | 2011-09-23 | 2020-03-05 | 노벨러스 시스템즈, 인코포레이티드 | 플라즈마 활성화된 컨포멀 유전체 막 증착 |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
US9318431B2 (en) | 2011-11-04 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a MOM capacitor and method of making same |
US20130115778A1 (en) | 2011-11-04 | 2013-05-09 | Applied Materials, Inc. | Dry Etch Processes |
US20130189845A1 (en) * | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5882776B2 (ja) | 2012-02-14 | 2016-03-09 | 信越化学工業株式会社 | レジスト下層膜形成用組成物、及びパターン形成方法 |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
KR20140143151A (ko) | 2012-03-15 | 2014-12-15 | 도쿄엘렉트론가부시키가이샤 | 성막 방법 및 성막 장치 |
JP6125247B2 (ja) | 2012-03-21 | 2017-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US8936977B2 (en) | 2012-05-29 | 2015-01-20 | Globalfoundries Singapore Pte. Ltd. | Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
US8703578B2 (en) | 2012-05-29 | 2014-04-22 | Globalfoundries Singapore Pte. Ltd. | Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
US9487869B2 (en) * | 2012-06-01 | 2016-11-08 | Carnegie Mellon University | Pattern transfer with self-assembled nanoparticle assemblies |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
CN103515197A (zh) | 2012-06-26 | 2014-01-15 | 中芯国际集成电路制造(上海)有限公司 | 自对准多重图形化的掩膜层及其形成方法 |
US9023737B2 (en) | 2012-07-11 | 2015-05-05 | Asm Ip Holding B.V. | Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment |
US20140023794A1 (en) | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
US8716136B1 (en) | 2012-10-19 | 2014-05-06 | Globalfoundries Inc. | Method of forming a semiconductor structure including a wet etch process for removing silicon nitride |
KR102207992B1 (ko) * | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
KR102052936B1 (ko) | 2012-11-13 | 2019-12-06 | 삼성전자 주식회사 | 반도체 소자 제조 방법 |
US8784951B2 (en) | 2012-11-16 | 2014-07-22 | Asm Ip Holding B.V. | Method for forming insulation film using non-halide precursor having four or more silicons |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9446965B2 (en) | 2013-02-19 | 2016-09-20 | Nanotech Industrial Solutions, Inc. | Applications for inorganic fullerene-like particles |
US8623770B1 (en) * | 2013-02-21 | 2014-01-07 | HGST Netherlands B.V. | Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140273531A1 (en) | 2013-03-14 | 2014-09-18 | Asm Ip Holding B.V. | Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES |
US20140273530A1 (en) | 2013-03-15 | 2014-09-18 | Victor Nguyen | Post-Deposition Treatment Methods For Silicon Nitride |
TW201441408A (zh) | 2013-03-15 | 2014-11-01 | Applied Materials Inc | 包含氮化矽之膜的電漿輔助原子層沉積 |
KR102151611B1 (ko) * | 2013-03-15 | 2020-09-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 초-콘포말한 탄소 막 증착 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
US9209274B2 (en) * | 2013-07-19 | 2015-12-08 | Globalfoundries Inc. | Highly conformal extension doping in advanced multi-gate devices |
KR102081195B1 (ko) | 2013-08-28 | 2020-02-25 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US20150251917A1 (en) | 2013-10-21 | 2015-09-10 | Qualcomm Mems Technologies, Inc. | Method of patterning pillars |
US9159579B2 (en) * | 2013-10-25 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography using multilayer spacer for reduced spacer footing |
JP2016539361A (ja) | 2013-11-08 | 2016-12-15 | 東京エレクトロン株式会社 | Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法 |
US10084016B2 (en) | 2013-11-21 | 2018-09-25 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
TWI480415B (zh) | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | 多模式薄膜沉積設備以及薄膜沉積方法 |
US9123776B2 (en) | 2013-12-04 | 2015-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned double spacer patterning process |
US9614053B2 (en) | 2013-12-05 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacers with rectangular profile and methods of forming the same |
CN105917445B (zh) | 2014-01-13 | 2020-05-22 | 应用材料公司 | 具有空间原子层沉积的自对准式双图案化 |
US9806129B2 (en) | 2014-02-25 | 2017-10-31 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
US9660080B2 (en) | 2014-02-28 | 2017-05-23 | Stmicroelectronics, Inc. | Multi-layer strained channel FinFET |
US20150247238A1 (en) * | 2014-03-03 | 2015-09-03 | Lam Research Corporation | Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films |
US9406522B2 (en) | 2014-07-24 | 2016-08-02 | Applied Materials, Inc. | Single platform, multiple cycle spacer deposition and etch |
US20160049307A1 (en) * | 2014-08-15 | 2016-02-18 | Yijian Chen | Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques |
US9318334B2 (en) | 2014-08-27 | 2016-04-19 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9355837B2 (en) | 2014-09-25 | 2016-05-31 | Micron Technology, Inc. | Methods of forming and using materials containing silicon and nitrogen |
US9875888B2 (en) | 2014-10-03 | 2018-01-23 | Applied Materials, Inc. | High temperature silicon oxide atomic layer deposition technology |
US9791779B2 (en) | 2014-10-16 | 2017-10-17 | Tokyo Electron Limited | EUV resist etch durability improvement and pattern collapse mitigation |
US9564312B2 (en) * | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US9449971B2 (en) * | 2014-12-01 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming FinFETs |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
EP3035379B1 (en) | 2014-12-15 | 2020-07-01 | IMEC vzw | Method for blocking a trench portion |
US9576811B2 (en) * | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9673059B2 (en) * | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
US9443731B1 (en) * | 2015-02-20 | 2016-09-13 | Tokyo Electron Limited | Material processing to achieve sub-10nm patterning |
US9530646B2 (en) | 2015-02-24 | 2016-12-27 | United Microelectronics Corp. | Method of forming a semiconductor structure |
US9472506B2 (en) * | 2015-02-25 | 2016-10-18 | International Business Machines Corporation | Registration mark formation during sidewall image transfer process |
US9748093B2 (en) | 2015-03-18 | 2017-08-29 | Applied Materials, Inc. | Pulsed nitride encapsulation |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9786503B2 (en) * | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
US9406693B1 (en) | 2015-04-20 | 2016-08-02 | Sandisk Technologies Llc | Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory |
CN106298519A (zh) | 2015-05-15 | 2017-01-04 | 联华电子股份有限公司 | 形成半导体结构的方法 |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US9508560B1 (en) * | 2015-06-18 | 2016-11-29 | International Business Machines Corporation | SiARC removal with plasma etch and fluorinated wet chemical solution combination |
US9530663B1 (en) * | 2015-06-23 | 2016-12-27 | Nanya Technology Corp. | Method for forming a pattern |
US9748110B2 (en) * | 2015-09-03 | 2017-08-29 | Tokyo Electron Limited | Method and system for selective spacer etch for multi-patterning schemes |
WO2017053316A1 (en) | 2015-09-24 | 2017-03-30 | Tokyo Electron Limited | Methods of forming etch masks for sub-resolution substrate patterning |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US10141417B2 (en) * | 2015-10-20 | 2018-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure, semiconductor device and the method of forming semiconductor device |
US10103032B2 (en) | 2015-11-20 | 2018-10-16 | Tokyo Electron Limited | Methods of forming etch masks for sub-resolution substrate patterning |
US9576817B1 (en) * | 2015-12-03 | 2017-02-21 | International Business Machines Corporation | Pattern decomposition for directed self assembly patterns templated by sidewall image transfer |
US9508604B1 (en) * | 2016-04-29 | 2016-11-29 | Globalfoundries Inc. | Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers |
US20170323785A1 (en) | 2016-05-06 | 2017-11-09 | Lam Research Corporation | Method to deposit conformal and low wet etch rate encapsulation layer using pecvd |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
-
2016
- 2016-09-28 US US15/279,312 patent/US10629435B2/en active Active
-
2017
- 2017-07-21 SG SG10201705999TA patent/SG10201705999TA/en unknown
- 2017-07-24 TW TW106124691A patent/TWI682056B/zh active
- 2017-07-25 KR KR1020170093932A patent/KR102273916B1/ko active IP Right Grant
- 2017-07-25 JP JP2017143195A patent/JP6895836B2/ja active Active
- 2017-07-31 CN CN201710636255.0A patent/CN107680903B/zh active Active
- 2017-07-31 CN CN202110564747.XA patent/CN113488379A/zh active Pending
-
2021
- 2021-06-30 KR KR1020210086044A patent/KR102510157B1/ko active IP Right Grant
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2024073220A1 (en) * | 2022-09-28 | 2024-04-04 | Lam Research Corporation | Layered metal oxide-silicon oxide films |
Also Published As
Publication number | Publication date |
---|---|
JP2018061007A (ja) | 2018-04-12 |
TWI682056B (zh) | 2020-01-11 |
CN113488379A (zh) | 2021-10-08 |
US20180033622A1 (en) | 2018-02-01 |
SG10201705999TA (en) | 2018-02-27 |
TW201821637A (zh) | 2018-06-16 |
KR102510157B1 (ko) | 2023-03-14 |
KR20180013745A (ko) | 2018-02-07 |
US10629435B2 (en) | 2020-04-21 |
CN107680903B (zh) | 2021-06-15 |
CN107680903A (zh) | 2018-02-09 |
KR102273916B1 (ko) | 2021-07-06 |
KR20210086594A (ko) | 2021-07-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6895836B2 (ja) | 半導体パターニング用途のためのドープald膜 | |
KR102474327B1 (ko) | 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들 | |
KR102514839B1 (ko) | Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우 | |
KR102407031B1 (ko) | 고 계수 ald sio2 스페이서를 위한 방법 | |
CN111247269B (zh) | 介电膜的几何选择性沉积 | |
KR20160035991A (ko) | Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들 | |
JP2015144268A (ja) | パルスプラズマ暴露を伴うプラズマ原子層堆積 | |
KR20210016063A (ko) | 금속-함유 하드마스크 박막들의 선택적인 성장 | |
CN114245832A (zh) | 原子层沉积期间的膜特性的原位控制 | |
US20230154754A1 (en) | Loss prevention during atomic layer deposition | |
US20230307290A1 (en) | Reducing intralevel capacitance in semiconductor devices | |
TW202409322A (zh) | 橫向間隙填充 | |
WO2023230296A1 (en) | Single wafer reactor, low temperature, thermal silicon nitride deposition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170929 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20171127 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20180123 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20180608 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20190517 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20190528 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190821 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20191224 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20200317 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20200518 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200622 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20200825 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20201224 |
|
C60 | Trial request (containing other claim documents, opposition documents) |
Free format text: JAPANESE INTERMEDIATE CODE: C60 Effective date: 20201224 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20210108 |
|
C21 | Notice of transfer of a case for reconsideration by examiners before appeal proceedings |
Free format text: JAPANESE INTERMEDIATE CODE: C21 Effective date: 20210112 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210209 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210420 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20210511 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20210608 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6895836 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |