KR101135811B1 - 기판 처리 챔버용 다중 포트 펌핑 시스템 - Google Patents

기판 처리 챔버용 다중 포트 펌핑 시스템 Download PDF

Info

Publication number
KR101135811B1
KR101135811B1 KR1020100093185A KR20100093185A KR101135811B1 KR 101135811 B1 KR101135811 B1 KR 101135811B1 KR 1020100093185 A KR1020100093185 A KR 1020100093185A KR 20100093185 A KR20100093185 A KR 20100093185A KR 101135811 B1 KR101135811 B1 KR 101135811B1
Authority
KR
South Korea
Prior art keywords
substrate processing
chamber
processing chamber
foreline
port
Prior art date
Application number
KR1020100093185A
Other languages
English (en)
Other versions
KR20100121577A (ko
Inventor
무함매드 엠. 라쉬드
드미트리 루보미르스키
제임스 샌토사
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100121577A publication Critical patent/KR20100121577A/ko
Application granted granted Critical
Publication of KR101135811B1 publication Critical patent/KR101135811B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 제조 챔버로부터 유체를 퍼징하기 위한 배기 포어라인이 제시된다. 포어라인은 챔버에 독립적으로 연결되는 제 1, 제 2 및 제 3 포트를 포함할 수 있다. 반도체 제조 시스템은 또한 제 1, 제 2 및 제 3 인터페이스 포트를 가지는 기판 챔버를 포함한다. 시스템은 또한 제 1, 제 2 및 제 3 포트를 가지는 다중 포트 포어라인을 포함할 수 있으며 제 1 포어라인 포트는 제 1 인터페이스 포트로 연결되고, 제 2 포어라인 포트는 제 2 인터페이스 포트로 연결되고, 제 3 포어라인 포트는 제 3 인터페이스 포트로 연결된다. 시스템은 다중 포트 포어라인으로 연결되는 배출 진공을 포함할 수 있다.

Description

기판 처리 챔버용 다중 포트 펌핑 시스템 {MULTI-PORT PUMPING SYSTEM FOR SUBSTRATE PROCESSING CHAMBERS}
관련 출원에 대한 교차 참조
본 출원은 그 내용이 전체적으로 참조되고 발명의 명칭이 " 유전체 증착 챔버에서 감소된 세정 압력을 위한 다중 포트 펌핑 시스템 "이고, 2007년 11월 8일에 출원된, 미국 가 출원 제 60/986,332호의 35 USC 119(e) 하의 이익을 청구한다.
현대 반도체 장치의 제조시 주요 단계들 중 하나는 웨이퍼 또는 기판 상에 실리콘 산화물 층과 같은 층의 형성이다. 널리 알려진 바와 같이, 이 같은 층은 화학적 증착(CVD)에 의해 증착될 수 있다. 종래의 열 CVD 공정에서 반응 가스는 원하는 필름을 형성하기 위해 열 유도 화학 반응이 발생하는 기판 표면으로 공급된다. 종래의 플라즈마 CVD 공정에서, 제어된 플라즈마는 원하는 필름을 생산하기 위해 반응성 가스 내의 반응성 종을 분해하고 및/또는 전압을 가하기 위해 예를 들면 무선 주파수(RF) 에너지 또는 마이크로파 에너지를 이용하여 형성된다.
처리 챔버의 벽과 같은 영역 상에 원하지 않는 증착은 또한 이와 같은 CVD 공정 동안 발생한다. 본 산업에서 알려진 바와 같이, 인시츄 챔버 세정 작동으로 챔버 벽의 내부 상에 생성되는 원하지 않는 증착 재료를 제거하는 것이 통상적이다. 통상적인 챔버 세정 기술은 챔버 벽 및 다른 영역으로부터 증착 재료를 제거하기 위하여 불소와 같은 식각세정 가스(etchant gas)의 이용을 포함한다. 일부 공정에서, 식각세정 가스는 챔버 내로 도입되어 식각세정 가스가 챔버 벽으로부터 증착 재료와 반응하여 제거하도록 플라즈마가 형성된다. 이 같은 세정 절차는 모든 웨이퍼 또는 모든 n개의 웨이퍼에 대한 증착 단계들 사이에서 공통적으로 수행된다.
일부 반도체 제조자는 인시츄 플라즈마 세정에 대한 하나의 선택예로서 원격 플라즈마 세정 공정을 적용하며, 식각세정 플라즈마가 마이크로파 플라즈마 시스템, 토로이달(toroidal) 플라즈마 발생기 또는 유사 장치와 같은 고밀도 플라즈마 소스에 의해 기판 처리 챔버로부터 원격에 발생되는 원격 플라즈마 세정 절차가 적용될 수 있다. 식각세정 플라즈마로부터 분리된 종은 이어서 이 종들이 생성된 원하지 않는 증착물과 반응하여 에칭하여 제거될 수 있는 기판 처리 챔버로 전달된다. 원격 플라즈마 세정 절차는 때때로 제조자에 의해 이용되며, 이는 이 절차들이 인시츄 플라즈마 세정 보다 " 더 부드러운 " 에칭을 제공하기 때문이다, 즉 플라즈마가 챔버 부품과 접촉하지 않기 때문에 챔버 부품에 대한 적은 이온 충격 및/또는 물리적 손상이 있기 때문이다.
하나의 공지된 타입의 원격 플라즈마 세정 절차에서, 삼불화 질소화물(NF3)이 마이크로파 파워에 의해 작동되는 원격 플라즈마 시스템(RPS) 내로 도입된다. RPS는 NF3를 증착 챔버 내의 챔버 측벽 및 다른 노출 표면 상에 생성되는 잔류물 증착 재료(예를 들면, 실리콘 산화물)와 반응하도록 기판 처리 챔버로 전달되는 반응성 불소 그룹(예를 들면, 래디컬 F 원자 및 이온)으로 해리한다. RPS 시스템은 종종 증착 챔버의 외부면(예를 들면, 챔버의 상부)에 종종 장착되고 활성화된 세정 가스는 챔버로 유동한다.
활성화된 세정 가스는 헬륨 또는 아르곤(Ar)과 같은 캐리어 가스가 선택적으로 추가될 수 있는 활성화된 NF3 소스를 포함할 수 있다. 활성화된 세정 가스가 RPS로부터 증착 챔버 내로 유동하는 비율은 종종 RPS의 구성에 의해 제한된다. 예를 들면, MKS 인스트루먼트, 아이엔씨.에 의해 제조된 ASTRONe RPS는 4.0 SLM 유동 동안 레이팅되고 ASTRONex RPS 시스템은 6.0 SLM 유동 동안 레이팅된다. 챔버를 통하여 순환하는 활성화된 세정 가스의 유동을 유지하기 위하여, 포어라인이 배기(예를 들면, 건조) 펌프로 챔버가 연결되도록 개방되어 유지된다. 건조 펌프에 의해 당겨지는 진공은 세정 가스가 포어라인을 통하여 챔버로 배출되도록 한다.
어플라이드 머티어리얼스에 의해 제조되는, 300 mm Ultimata HDP-CVD 챔버에서, 세정 가스가 배기되는 포어라인의 일 부분이 챔버와 러핑 펌프 사이로 연결되는 단일 포트에 연결된다. 포트는 고정된 크기이며 챔버 압력의 증가 없이 소정의 지점을 넘어 세정 가스의 증가된 유입 유동을 수행할 수 없는 제한된 유동 용량을 가진다. 따라서, 위에서 언급된 ASTRON RPS 유닛이 3OO mm 울티마(Ultima) 챔버와 함께 이용될 때, 활성화된 세정 가스의 유량은 통상적으로 2 내지 4.5 분당 표준 리터(SLM)의 범위내에 있다. 이와 같은 유량에서 챔버 압력은 효과적인 세정 동안 이상적인 범위 내로 용이하게 유지될 수 있다. 더 높은 유동 RPS 유닛이 10 내지 15 SLM의 범위에 있는 활성화된 세정 가스의 유동을 발생할 수 있을 때, 단일 포트 포어라인은 가스를 충분히 빠른 속도로 제거할 수 없으며 챔버 압력은 활성화된 세정 가스의 세정 효율에서의 감소를 초래하는 이상적인 범위 위로 상승한다. 예를 들면, 세정 가스 압력이 약 9 Torr 위로 올라갈 때, 더 많은 가스가 이용되어 세정 속도가 실제로 낮은 챔버 압력에 비해 감소된다. 세정 가스의 입력 유량 상의 이러한 제한은 더 긴 챔버 세정 시간을 초래하여 처리량 또는 생산성이 감소된다.
위에서, 발명가들은 입력 유량이 증가될 때 최적 레벨로 증착 챔버 내의 세정 가스 압력을 유지할 수 있는 새로운 포어라인 설계에 대한 요구를 인정하고 있다. 본 발명의 실시예는 다중 포트(예를 들면, 두 개 또는 세 개 이상의 포트)가 챔버로부터 소모된 세정 가스를 제거하기 위하여 포어라인에 이용될 수 있는 개선된 포어라인 설계를 포함한다.
본 발명의 일 실시예에 따라, 기판 처리 챔버로부터 유체를 퍼징하기 위한 다중 포트 배기 포어라인이 제공되며 포어라인은 챔버에 독립적으로 연결되는 제 1, 제 2 및 제 3 포트를 포함한다. 제 1, 제 2 및 제 3 포트는 서로 유체적으로 연결되어 배출 진공으로 작동적으로 연결되는 단일 포트 내로 통합된다. 하나의 특정 실시예에서, 3개의 포트는 배출 진공으로 연결되는 제 4 포트를 가지는 크로스 피팅과 교차한다.
또 다른 실시예에 따라, 챔버 내의 기판 처리 영역을 가지는 기판 처리 챔버, 챔버의 기판 처리 영역 내에서 기판 처리 동안 기판을 고정하기 위해 기판 처리 챔버 내에 위치하는 기판 수용면을 가지는 기판 지지부, 및 터보 분자 펌프, 챔버로부터 터보 분자 펌프를 유체적으로 차단하기 위해 폐쇄될 수 있는 게이트 밸브 및 배기 포어라인으로 유체적으로 연결되는 제 1 및 제 2 가스 배기 통로를 포함하는 가스 배기 시스템을 포함하는 기판 처리 시스템이 제공된다. 제 1 가스 배기 통로는 기판 처리 챔버로부터 배기 포어라인으로 터브 분자 펌프를 통하여 펌핑되는 가스를 배출하기 위하여 기판 처리 시스템 상에 위치하는 포트로 연결되는 제 1 도관을 포함한다. 제 2 가스 배기 통로는 게이트 밸브가 챔버로부터 터보 분자 펌프를 유체적으로 차단하기 위해 폐쇄될 때 기판 처리 챔버로부터 배기 포어라인 내로 가스를 배출하기 위하여 기판 처리 시스템 상에 위치되는 제 2 및 제 3 인터페이스 포트로 연결되는 적어도 제 2 및 제 3 도관을 포함한다. 기판 처리 시스템의 일부 실시예에서, 게이트 밸브가 챔버로부터 터보 분자 펌프를 유체적으로 차단하기 위해 폐쇄될 때 기판 처리 챔버로부터 배기 포어라인 내로 가스를 배출하기 위하여, 제 2 가스 배기 통로는 기판 처리 시스템 상에 위치하는 제 4 인터페이스 포트에 연결되는 제 4 도관을 포함한다. 또한, 일부 실시예에서, 제 2, 제 3 및 제 4 인터페이스 포트는 기판 지지부 아래 위치하고 서로로부터 약 90도 이격되고 실질적으로 동일한 수평 평면에 위치한다. 기판 처리 시스템은 러핑 펌프를 포함할 수 있으며, 러핑 펌프는 제 1, 제 2, 제 3 및 제 4 도관 뿐만 아니라 제 2, 제 3 및 제 4 도관 과 러핑 펌프 사이의 제 2 가스 통로에 작동적으로 연결되는 제 2 트로틀 밸브에 작동적으로 연결된다.
일 실시예에서, 기판 처리 챔버를 세정하는 방법이 제공된다. 상기 방법은 챔버 내로 활성화된 세정 가스 혼합물을 도입하며, 챔버 내의 잔류 재료와 세정 가스 혼합물의 적어도 일부분과 반응하고, 유체적으로 서로 연결되어 진공 펌프로 작동적으로 연결되는 다중 배기 포트를 통하여 반응 챔버로부터 세정 가스 혼합물을 제거한다. 세정 가스 혼합물은 원격 플라즈마 시스템에서 챔버 외부에 형성된 플라즈마로부터 발생된 불소-함유 화합물이다. 하나의 특정 실시예에서, 세정 가스 혼합물은 삼불화 질소화물를 포함하고 활성화된 세정 가스는 불소 이온 및 불소 래디컬을 포함하며 챔버 압력이 9 Torr 또는 그 아래에서 유지되는 동안 10 내지 15 SLM의 유량으로 챔버 내로 도입된다.
또 다른 실시예에서, 기판 처리 챔버를 가지는 기판 처리 시스템 및 터보 분자 펌프, 기판 처리 챔버로부터 터보 분자 펌프를 유체적으로 차단하기 위하여 폐쇄될 수 있는 게이트 밸브 및 배기 포어라인으로 유체적으로 연결되는 제 1 및 제 2 가스 배기 통로를 포함하는 배기 가스 시스템을 작동시키는 방법이 제공된다. 상기 방법은 (ⅰ) 기판을 상기 기판 처리 챔버 내로 이송하고 상기 기판 상에서 기판 처리 작업을 수행하는 단계로서, 상기 기판 상에서 기판 처리 작업을 수행하는 단계는 상기 기판이 상기 기판 처리 챔버 내에 위치하는 동안 상기 기판 처리 챔버 내로 하나 또는 둘 이상의 공정 가스를 도입하는 단계, 및 상기 터보 분자 펌프로부터 하류부의 제 1 포트에서 상기 기판 처리 챔버에 유체적으로 연결되는 제 1 가스 배기 통로를 통하여 상기 터보 분자 펌프로 하나 또는 둘 이상의 공정 가스를 상기 기판 처리 챔버로부터 상기 배기 포어라인 내로 배출하는 단계에 의해 수행되고, 상기 기판 처리 작업 동안 상기 기판 처리 챔버의 내부면 상에 원하지 않는 잔류물 재료가 생성되는, 단계, 및 (ii) 상기 기판 처리 챔버 외부로 상기 기판을 이송하는 단계, 상기 기판 처리 챔버로부터 상기 터보 분자 펌프를 차단하기 위해 상기 게이트 밸브를 폐쇄하는 단계, 및 챔버 세정 작업을 수행하는 단계로서, 상기 챔버 세정 작업을 수행하는 단계는 상기 기판 처리 챔버 내로 활성화된 세정 가스 혼합물을 도입하는 단계, 상기 잔류물 재료와 상기 세정 가스 혼합물의 적어도 일 부분을 반응시키는 단계, 및 상기 터보 분자 펌프로부터 상류부의 상기 기판 처리 챔버에 유체적으로 연결되는 적어도 제 2 포트 및 제 3 포트를 가지는 제 2 가스 배기 통로를 통하여 상기 기판 처리 챔버로부터 상기 활성화된 세정 가스 혼합물을 제거하는 단계에 의해 수행되는, 단계를 포함한다. 일 실시예에서, 제 2 배기 통로는 터보 분자 펌프로부터 상류부의 챔버에 유체적으로 연결되는 제 4 포트를 더 포함한다.
하나의 특정 실시예에서, 본 발명은 종래의 반도체 증착 챔버의 트로틀 바디 상에 이용가능한 3개의 진공 인터페이스 포트를 이용한다. 터보 분자 펌프의 상류부에 있는 3개의 포트는 서로 그리고 배기 포어 라인으로 유체적으로 연결되어 현 챔버의 주요 및 고가의 재설계에 대한 요구 없이 증가된 펌핑 성능을 허용하도록 한다. 본 발명의 실시예는 또한 현 챔버의 펌핑 성능이 증가되도록 현 챔버가 단일 포트 포어라인 설계로부터 2개 또는 3개의 포트 전방 설계로 개장되도록 한다.
부가 실시예 및 특징은 후술되는 상세한 설명에 부분적으로 제시되고 부분적으로 상세한 설명의 심사시 본 기술분야의 기술자에게 명백하게 되고 본 발명의 실시에 의해 습득될 수 있다. 본 발명의 특징 및 장점은 상세한 설명에서 설명된 수단, 조합 및 방법에 의해 실현되어 얻어질 수 있다.
본 발명의 특성 및 장점의 추가 이해는 상세한 설명 및 도면의 나머지 부분을 참조함으로써 이해될 수 있으며, 여기에서 동일한 도면 부호가 유사한 부품을 참조하도록 수개의 도면을 통하여 이용된다. 일부의 경우에서, 서브라벨은 도면부호와 관련되며 하이펀은 다수의 유사한 부품들 중 하나를 나타낸다. 설명 없이 도면부호에 현 서브라벨이 인용될 때, 이 같은 다수의 유사한 부품 모두를 인용하는 것으로 의도된다.
도 1은 챔버 세정 작동 동안 배출 펌프로 증착 챔버를 유체적으로 연결하는 종래의 단일 포트 포어라인을 보여주는 도면.
도 2는 본 발명의 일 실시예에 따라 포어라인을 개략적으로 보여주는 도면.
도 3은 본 발명의 또 다른 실시예에 따라 포어라인을 개략적으로 보여주는 도면.
도 4는 본 발명의 일 실시예에 따라 포어라인이 장착된 HDP-CVD 챔버의 개략적인 사시도.
도 1은 고 밀도 플라즈마 화학 증착(HDP-CVD) 시스템(10)의 개략적인 단면도이며, 이 시스템에는 본 발명에 따라 기술이 챔버 세정 작업 동안 시스템(10)의 펌핑 성능을 증가시키기 위해 적용될 수 있다. CVD 시스템(10)은 다른 구성 요소 중에서, 챔버 바디(12), 기판 지지부(14)(예를 들면, 정전자기 척), 가스 노즐(16, 18), 챔버 돔(20), 원격 플라즈마 세정 시스템(22) 및 진공 시스템(24)을 포함한다. 챔버 바디(12), 돔(20) 및 기판 지지부(14)는 처리 영역(15)을 형성하도록 조합되며 이 영역에서 기판(2)은 화학 증착 작업과 같은, 기판 처리 작업 동안 위치된다. 편리함을 위해, 본 발명에 직접 관계되지 않는 시스템(10)의 다양한 특징은 도 1에서 생략되며 본 명세서에서 논의되지 않는다. 예를 들면, 시스템(10)은 공정 가스를 가스 노즐(16, 18) 뿐만 아니라 소스로 전달하는 가스 분배 시스템 및 챔버 내로 도입되는 공정 가스로부터 챔버 내에 플라즈마를 형성하도록 에너지를 제공하기 위하여 챔버에 연결되는 바이어스 플라즈마 시스템을 포함한다.
진공 시스템(24)은 챔버(12)의 하부를 형성하고 챔버를 진공 시스템으로 연결하는 바디 부재(26), 및 3개의 블레이드 트로틀 밸브(30)를 수용하고 게이트 밸브(32) 및 터보 분자 펌프(34)에 부착되는 트로틀 바디(28)를 포함하여 기판 처리 작업 동안 약 1mTorr 만큼 낮은 챔버 압력의 정확하고 안정된 제어를 허용한다. 게이트 밸브(32)는 트로틀 바디(30) 및 처리 영역(15)으로부터 펌프(34)를 차단할 수 있다.
진공 시스템(24)은 또한 부가 차단 밸브(40 및 42), 엔드포인트 감지기(44), 부가 트로틀 밸브(46) 및 러핑 펌프(48)를 포함한다. 기판 처리 작업 동안, 게이트 밸브(32) 및 차단 밸브(42)가 개방되면서 차단 밸브(40)가 된다. 가스는 포트(52) 및 가스 도관(50a)을 통하여 포어라인(50) 내로 배기된다. 기판 처리 작업 동안 압력은 트로틀 밸브(30)에 의해 제어된다. 챔버 세정 작업 동안, 밸브(40)가 개방되면서 게이트 밸브(32) 및 차단 밸브(42)가 폐쇄된다. 세정 가스는 포트(54) 및 가스 도관(50b)을 통하여 포어라인(50) 내로 배기된다. 챔버 세정 작업 동안 압력은 트로틀 밸브(46)에 의해 제어된다. 가스 도관(50a 및 50b)은 가스 포어라인(50)의 부분이다.
어플라이드 머티어리얼스에 의해 제조되는 소정의 울티마 HDP-CVD 챔버와 같은 소정의 CVD 챔버에서, 바디 부재(26) 및 트로틀 바디(28)는 일체형 하우징을 형성하도록 서로 용접된다. 상기 하우징 상의 포트(54)는 고정된 크기이고 적절한 피팅에 의해 포어라인으로 연결될 수 있다. 하우징은 또한 도 1에 도시되지 않은 두 개의 부가 포트를 포함한다(포트(56, 58)로서 도 2에 도시됨). 이러한 부가 포트(56, 58)는 챔버(10) 상에서 포트(54)와 거의 동일한 높이에 위치하지만(따라서 실질적으로 동일한 수평면 상에) 포트(54)의 좌측 및 우측에 대해 90도로 위치하여 각각 도면 페이지를 향하여 도면페이지로부터 반대측으로 직면하게 된다. 각각의 포트(54, 56, 58)는 게이트 밸브(32) 및 터보 분자 펌프(34)로부터 상류부에 있다(기판 처리 및 챔버 세정 작업 동안 챔버 내로 및 챔버로부터의 가스 유동에 대해).
이러한 부가 포트는 통상적으로 챔버(10)로의 헬륨 가스의 퍼지 또는 압력 게이지와 같은 장치를 연결하기 위하여 이용된다. 그러나, 본 발명의 일 실시예에서, 이러한 부가 포트(56, 58)는 적절한 피팅으로 포어라인으로 직접 연결되어 챔버 세정 작동 동안 통로 관통 포트(54)에 부가하여 포어라인으로의 가스 유동 통로를 제공하여 챔버 세정 작업 동안 챔버(10)의 펌핑 성능을 증가시킨다.
도 2는 본 발명의 실시예에 따라 포어라인(60)의 단순화된 개략도를 보여준다. 도 1의 포어라인 부분(50b)을 대체할 수 있는 포어라인(60)은 챔버(10)와 같은 기판 처리 챔버 상의 3개의 포트(54, 56, 58)에 각각 연결되는 가스 도관 섹션(62, 64, 66)을 포함한다. 섹션(62, 64, 66)은 교차하여 크로스 피팅(68)에서 서로 유체적으로 연결되며, 크로스 피팅은 포어라인의 나머지 및 러핑 펌프(48)에 도 2에 도시된 포어라인의 부분을 연결하기 위하여 이용될 수 있다. 개별 차단 밸브(70, 71 및 72)는 각각 크로스 피팅(68) 및 포트(54, 56 및 58) 각각 사이에 위치된다. 또 다른 실시예에서, 도 3에 도시된 바와 같이, 포트(54, 56 및 58)에 연결된 포어라인의 각각의 세그먼트에 대해 개별 차단 밸브(70 내지 72)를 이용하는 대신, 단일 차단 밸브(74)는 크로스 피팅(68)과 러핑 펌프(48) 사이에 위치된다. 더욱이, 엔드 포인트 감지기(44) 및 트로틀 밸브(46)는 도 2 및 3에 도시된 각각의 실시예에 크로스 피팅(68)과 러핑 펌프(48) 사이의 포어라인에 작동적으로 연결될 수 있다.
소정의 울티마 HDP-CVD 챔버에서, 중앙 포트(포트(54))는 측부 포트(포트(56, 58)) 보다 더 큰 직경 개구를 가진다. 따라서, 도 2 및 도 3에 도시된 본 발명의 실시예는 이 같은 챔버와 관련하여 이용될 때, 챔버에 섹션(64 및 66)을 연결하기 위하여 이용되는 다양한 커넥터가 중앙 커넥터(62)의 직경보다 챔버로의 연결 지점에서 작은 직경을 가진다. 일 실시예에서, 크로스 피팅(68)은 동일한 크기의 커플링으로 각각의 3개의 포어라인 섹션(62, 64 및 66)으로 연결되고 상기 커플링은 포트(54)로 연결하기 위하여 이용되는 커플링과 직경이 동일하다. 따라서, 이러한 실시예에서 다양한 어댑터가 포어라인의 전체 길이 및 각각의 부분을 따라 적절한 정합 및 가스 타이트 밀봉을 보장하도록 섹션(64, 66)의 직경을 변화시키기 위해 이용될 수 있다. 본 기술분야의 기술자는 본 발명이 도 2 및 도 3에 도시된 구성을 형성하기 위해 이용되는 소정의 특별한 부분 또는 본 발명의 다른 구성으로 제한되지 않는다. 더욱이, 기술자는 이같은 부분이 설계 선택의 문제이며 다중 섹션, 파이프, 밸브, 커플링, 클램프, 오링 및 특별한 챔버를 위해 설계된 장치를 형성하기 위해 필요한 다른 부분을 포함할 수 있다는 것을 인정하게 될 것이다.
도 4는 본 발명의 일 실시예에 따라 포어라인(60)이 조립된 반도체 제조 시스템(100)의 개략적인 사시도이다. 시스템(100)은 예를 들면 300 mm 울티마 HDP-CVD 증착 챔버 또는 다른 타입의 챔버일 수 있다. 시스템(100)은 기판 웨이퍼 상에 유전체 층(실리콘 산화물, 실리콘 옥시니트라이드, 실리톤 질화물, 등)을 증착 및/또는 에칭하기 위해 이용될 수 있다.
도 4에 도시된 바와 같이, 포어라인(60)은 개략적으로 트로틀 밸브의 높이에서 챔버의 바닥 근처에 3개의 출력 포트로 연결되고 서로로부터 90도로 배향된다. 챔버로부터 제 1 인터페이스 포트(54)는 KF50 크기의 커플러로 포어라인의 제 1 섹션으로 연결된다. 제 1 포트(54)에 대해 90도인 제 2 및 제 3 챔버 인터페이스 포트(56, 58)는 각각 KF25 크기의 커플러로 포어라인의 제 2 및 제 3 섹션으로 연결된다. 이러한 실시예에서, 3개의 포어라인 포트는 기판 처리 작업 동안 포어라인의 하부 섹션 및 밸브(42)를 통한 가스 유동으로부터 포어라인의 섹션(62, 64, 및 66)을 차단할 수 있는 차단 밸브(74)로 연결되는 제 4 포트를 가지는, 크로스 피팅(68)에서 교차한다. 도 4에 도시된 것은 벨로우즈(102)이며, 벨로우즈는 서로에 대해 포어라인의 다양한 섹션의 위치에 대한 일부 조정이 포어라인의 더 용이한 설치 및 향상된 조립을 가능하게 한다.
도 4에 도시된 시스템의 실시예는 일부 실시예에서 도 1에 도시된 감지기(44)와 같은, 엔드 포인트 감지기(EPD)로 대체되는 파이프 섹션(104)을 포함한다. 이러한 EPD는, 챔버 내의 세정 가스의 압력이 세정 사이클 또는 공정의 마지막을 표기하기에 충분히 낮을 때를, 감지한다. 트로틀 밸브(46)는 또한 섹션(104)의 하류부의 포어라인에 위치하지만 섹션(104)의 상류부 또는 커넥터(68)와 러핑 펌프 사이의 포어라인을 따른 다른 위치에 용이하게 위치할 수 있다.
전술된 바와 같이, 상술된 바와 같은 다중 포어라인은 챔버 세정 작업 동안 활성화된 세정 가스의 증가된 유동을 허용하기 위한 챔버 세정 작업 동안 증가된 펌핑 용량을 제공할 수 있다. 예를 들면, 300 mm 울티마 HDP-CVD 챔버가 도 4와 관련하여 설명된 바와 같이 터보 분자 펌프의 상류부의 3개의 포트 포어이 개장될 때, 시스템은 높은 유량(RPS)에 대한 충분한 펌핑 성능을 가져서 10 내지 15 SLM의 속도로 챔버 내로 활성화된 세정 가스를 도입하도록 하며 챔버 압력을 9 Torr 아래로 그리고 특히 챔버 압력을 활성화된 세정 가스의 15 SLM 유량에서 6 Torr로 유지되도록 한다. 발명가는 또한 챔버 세정 작업 동안 다중 포어라인이 향상된 세정 균일도를 제공하는 것을 알았다. 개선된 균일도는 적어도 부분적으로 챔버 바디의 내부 주변부의 180도에 걸쳐 서로로부터 이격된 다중 포트로부터 세정 가스를 펌핑하기 때문이다.
수 개의 실시예가 설명되었지만, 다양한 변형예, 다른 구성 및 등가물이 본 발명의 사상으로부터 이탈하지 않고 이용될 수 있는 것이 본원 발명의 기술자에 의해 인정될 것이다. 예를 들면, 배기 세정 가스용 포어라인으로 두 개의 부가 포트를 부가하는 것이 각각 공개된 도 2 내지 도 4, 본 발명의 일 부 실시예는 압력 게이지 또는 헬륨 공급원의 연결과 같은 다른 목적을 위해 제 3 포트를 제외하고 두 개의 부가 포트 중 하나만을 이용할 수 있다. 또 다른 예시로서, 본 발명은 HDP-CVD 챔버에 대해 주로 설명되었지만, 또한 다른 타입의 증착 챔버 뿐만 아니라 에칭 챔버 및 다른 챔버가 적용될 수도 있다. 또한, 본 발명을 불필요하게 불명료하게 하지 않도록 다수의 널리 공지된 공정 및 요소가 설명되지 않는다. 따라서, 상술된 상세한 설명은 본 발명의 범위를 제한하지 않아야 한다.
상세한 설명 및 특허청구범위에서 사용된 바와 같이, 다른 명백한 기재가 없는 한, 단수 형태의 표현 및 단복수 형태를 특별히 표시하지 않은 표현은 복수 형태도 포함하는 것으로 해석되어야 한다. 그에 따라, 예를 들어, "공정(process)"은 다수의 공정들을 포함할 수 있으며, "전극"은 하나 이상의 전극 및 소위 당업자에게 공지된 균등물 등을 포함할 수 있다.
또한, 상세한 설명 및 특허청구범위에서 사용되는 "포함", "구비" 등의 용어는 규정된 특징들, 정수들, 성분들 또는 단계들의 존재를 특정하기 위한 것이지, 하나 이상의 다른 특징들, 정수들, 성분들, 단계들, 또는 그룹의 존재나 추가를 배제하기 위한 것은 아니다.

Claims (5)

  1. 기판 처리 챔버와, 그리고
    터보 분자 펌프, 상기 기판 처리 챔버로부터 상기 터보 분자 펌프를 유체적으로 차단하기 위해 폐쇄될 수 있는 게이트 밸브 및 배기 포어라인으로 유체적으로 연결되는 제 1 가스 배기 통로 및 제 2 가스 배기 통로를 포함하는 가스 배기 시스템을 가지는, 기판 처리 시스템을 작동하는 방법으로서,
    상기 기판 처리 챔버 내로 기판을 이송하고 상기 기판 상에 기판 처리 작업을 수행하는 단계로서, 상기 기판 처리 작업은 상기 기판이 상기 기판 처리 챔버 내에 위치되는 동안 상기 기판 처리 챔버 내로 하나 또는 둘 이상의 공정 가스들을 도입하는 단계 및 상기 터보 분자 펌프로부터 하류부의 제 1 포트에서 상기 기판 처리 챔버에 유체적으로 연결되는 상기 제 1 가스 배기 통로를 통하여 상기 터보 분자 펌프로 상기 하나 또는 둘 이상의 공정 가스를 상기 기판 처리 챔버로부터 상기 배기 포어 라인 내로 배기하는 단계에 의해 수행되며, 상기 기판 처리 작업 동안 상기 기판 처리 챔버의 내부면 상에 원하지 않는 잔류물 재료가 증강되는, 단계; 및
    상기 기판 처리 챔버의 외부로 상기 기판을 이송하고, 상기 기판 처리 챔버로부터 상기 터보 분자 펌프를 차단하도록 상기 게이트 밸브를 폐쇄하고 챔버 수행 작업을 수행하는 단계로서, 상기 챔버 수행 작업은 상기 기판 처리 챔버 내로 활성화된 세정 가스 혼합물을 도입하는 단계, 상기 잔류물 재료와의 세정 가스 혼합물의 적어도 일 부분과 반응하는 단계, 및 상기 터보 분자 펌프로부터 상류부의 상기 기판 처리 챔버로 유체적으로 연결되는 적어도 제 2 및 제 3 포트를 가지는 상기 제 2 배기 통로를 통하여 상기 기판 처리 챔버로부터 상기 세정 가스 혼합물을 제거하는 단계에 의해 수행되는, 단계를 포함하는,
    기판 처리 시스템을 작동하는 방법.
  2. 제 1 항에 있어서,
    상기 제 2 배기 통로는 상기 터보 분자 펌프로부터 상류부의 상기 기판 처리 챔버로 유체적으로 결합되는 제 4 포트를 포함하는,
    기판 처리 시스템을 작동하는 방법.
  3. 제 2 항에 있어서,
    상기 활성화된 세정 가스 혼합물을 제거하는 단계는 상기 기판 처리 챔버로 작동적으로 연결되는 원격 플라즈마 시스템 내에 발생된 불소 이온 및 불소 래디컬을 포함하는,
    기판 처리 시스템을 작동하는 방법.
  4. 제 3 항에 있어서,
    상기 불소 이온 및 불소 래디컬은 NF3 로부터 발생되는,
    기판 처리 시스템을 작동하는 방법.
  5. 삭제
KR1020100093185A 2007-11-08 2010-09-27 기판 처리 챔버용 다중 포트 펌핑 시스템 KR101135811B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98633207P 2007-11-08 2007-11-08
US60/986,332 2007-11-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020080110390A Division KR101011097B1 (ko) 2007-11-08 2008-11-07 기판 처리 챔버용 다중 포트 펌핑 시스템

Publications (2)

Publication Number Publication Date
KR20100121577A KR20100121577A (ko) 2010-11-18
KR101135811B1 true KR101135811B1 (ko) 2012-04-16

Family

ID=40170035

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020080110390A KR101011097B1 (ko) 2007-11-08 2008-11-07 기판 처리 챔버용 다중 포트 펌핑 시스템
KR1020100093185A KR101135811B1 (ko) 2007-11-08 2010-09-27 기판 처리 챔버용 다중 포트 펌핑 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020080110390A KR101011097B1 (ko) 2007-11-08 2008-11-07 기판 처리 챔버용 다중 포트 펌핑 시스템

Country Status (7)

Country Link
US (1) US7964040B2 (ko)
EP (1) EP2058843A3 (ko)
JP (1) JP2009117844A (ko)
KR (2) KR101011097B1 (ko)
CN (1) CN101429651B (ko)
SG (1) SG152213A1 (ko)
TW (1) TW200936886A (ko)

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102383106B (zh) * 2010-09-03 2013-12-25 甘志银 快速清除残余反应气体的金属有机物化学气相沉积反应腔体
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102732858A (zh) * 2011-03-29 2012-10-17 绿种子能源科技股份有限公司 多腔体薄膜沉积装置及其抽气模块
US9418880B2 (en) * 2011-06-30 2016-08-16 Semes Co., Ltd. Apparatuses and methods for treating substrate
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9502242B2 (en) * 2014-02-05 2016-11-22 Applied Materials, Inc. Indium gallium zinc oxide layers for thin film transistors
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6014630B2 (ja) * 2014-06-30 2016-10-25 株式会社Ihi 洗浄装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
CN104297423B (zh) * 2014-09-23 2015-12-02 京东方科技集团股份有限公司 检测装置和检测方法
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20210053351A (ko) 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11211232B2 (en) * 2018-10-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for cleaning semiconductor device manufacturing apparatus
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112080733A (zh) * 2019-06-14 2020-12-15 东泰高科装备科技有限公司 尾气排放装置及真空镀膜系统
US11631571B2 (en) * 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation
WO2023064720A1 (en) * 2021-10-12 2023-04-20 Lam Research Corporation Apparatuses and systems for ammonia/chlorine chemistry semiconductor processing

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100204793B1 (ko) * 1996-08-24 1999-06-15 문정환 반도체 제조장비의 식각장치

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JPH01305524A (ja) * 1988-06-03 1989-12-08 Nec Corp プラズマcvd装置
JPH0362514A (ja) * 1989-07-31 1991-03-18 Babcock Hitachi Kk 気相成長装置
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JPH04329626A (ja) * 1991-05-02 1992-11-18 Matsushita Electron Corp 半導体素子の製造装置
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH05306468A (ja) * 1992-04-30 1993-11-19 Nec Yamaguchi Ltd プラズマ気相成長装置
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5587014A (en) * 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
WO2002015243A1 (fr) * 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6696362B2 (en) * 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) * 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
NL1019781C2 (nl) 2002-01-18 2003-07-21 Tno Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan.
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP4091357B2 (ja) * 2002-06-28 2008-05-28 大日本スクリーン製造株式会社 基板処理装置および基板洗浄方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
EP1667217A1 (en) 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4570659B2 (ja) 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
TW200739710A (en) * 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100204793B1 (ko) * 1996-08-24 1999-06-15 문정환 반도체 제조장비의 식각장치

Also Published As

Publication number Publication date
KR20090048356A (ko) 2009-05-13
KR101011097B1 (ko) 2011-01-25
JP2009117844A (ja) 2009-05-28
CN101429651A (zh) 2009-05-13
TW200936886A (en) 2009-09-01
SG152213A1 (en) 2009-05-29
US7964040B2 (en) 2011-06-21
EP2058843A2 (en) 2009-05-13
EP2058843A3 (en) 2012-04-18
CN101429651B (zh) 2013-04-24
KR20100121577A (ko) 2010-11-18
US20090120464A1 (en) 2009-05-14

Similar Documents

Publication Publication Date Title
KR101135811B1 (ko) 기판 처리 챔버용 다중 포트 펌핑 시스템
US7967913B2 (en) Remote plasma clean process with cycled high and low pressure clean steps
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
US20070266946A1 (en) Semiconductor device manufacturing apparatus and method of using the same
US20070227554A1 (en) Semiconductor processing with a remote plasma source for self-cleaning
JP6876158B2 (ja) プラズマ処理装置
TW202038380A (zh) 用於隔離結構的伸縮襯裡層
KR102354258B1 (ko) 다수의 증착된 반도체 층들의 적층체를 형성하는 방법들
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
US20070218197A1 (en) Vacuum processing system and method of making
JP4754609B2 (ja) 処理装置およびそのクリーニング方法
US20110203610A1 (en) Remote plasma cleaning method and apparatus for applying said method
JP2006253733A (ja) プラズマ処理装置およびそのクリーニング方法
TW201736642A (zh) 用於蝕刻硬體之基於氫電漿清洗處理
US20240177990A1 (en) Oxidation conformality improvement with in-situ integrated processing
JP3595508B2 (ja) 半導体製造装置
KR20060122418A (ko) 반도체 기판 가공 장치의 진공 시스템
KR20030093411A (ko) 반도체 제조설비의 오리피스 리크발생 방지장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 8