KR101341711B1 - 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들 - Google Patents

웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들 Download PDF

Info

Publication number
KR101341711B1
KR101341711B1 KR1020127031863A KR20127031863A KR101341711B1 KR 101341711 B1 KR101341711 B1 KR 101341711B1 KR 1020127031863 A KR1020127031863 A KR 1020127031863A KR 20127031863 A KR20127031863 A KR 20127031863A KR 101341711 B1 KR101341711 B1 KR 101341711B1
Authority
KR
South Korea
Prior art keywords
substrate
plasma
chamber
cleaning
edge
Prior art date
Application number
KR1020127031863A
Other languages
English (en)
Other versions
KR20130018915A (ko
Inventor
윤상 김
앤드류 디 3세 베일리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37820632&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101341711(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US11/237,327 external-priority patent/US20070068623A1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130018915A publication Critical patent/KR20130018915A/ko
Application granted granted Critical
Publication of KR101341711B1 publication Critical patent/KR101341711B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

폴리머 부산물 및 증착된 필름들의 축적을 피하고 공정 수율을 향상시키기 위해, 챔버 내부 및 기판 이면상의 에치 부산물들, 및 기판 베벨 에지 근방의 에치 부산물들, 유전체 필름들 및 금속 필름들의 제거를 위한 개선된 메커니즘들이 제공된다. 기판의 베벨 에지를 세정하도록 구성된 예시적인 플라즈마 에치 공정 챔버가 제공된다. 상기 챔버는, 플라즈마 공정 챔버 내의 기판 지지체를 둘러싸는 하부 에지 전극을 포함하며, 기판 지지체는 상기 기판을 수용하도록 구성되며, 하부 에지 전극 및 기판 지지체는 하부 유전체 링에 의해 서로 전기적으로 절연되어 있다. 또한, 상기 챔버는, 기판 지지체에 대향하는 가스 분배 판을 둘러싸는 상부 에지 전극을 포함하며, 상부 에지 전극 및 가스 분배 판은 상부 유전체 링에 의해 서로 전기적으로 절연되어 있으며, 상부 에지 전극 및 하부 에지 전극은 세정 플라즈마를 발생시켜 기판의 베벨 에지를 세정하도록 구성된다.

Description

웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들{APPARATUS AND METHODS TO REMOVE FILMS ON BEVEL EDGE AND BACKSIDE OF WAFER}
본 발명은 일반적으로 기판 제조 기술들에 관한 것이며, 특히 기판의 베벨 에지 (bevel edge) 및 이면으로부터 에치 부산물들의 제거를 위한 장치 및 방법에 관한 것이다.
기판, 예를 들면, 반도체 기판 (또는 웨이퍼), 또는 플랫 패널 디스플레이 제조시에 사용되는 것과 같은 유리 패널의 처리시에, 플라즈마가 종종 채용되고 있다. 기판 처리 동안, 기판 (또는 웨이퍼) 은 복수의 다이들 또는 직사각형 영역들로 나눠진다. 복수의 다이들의 각각은 집적회로가 될 것이다. 그후, 기판은 재료들이 선택적으로 제거되고 (또는 에칭) 증착되는 일련의 단계들로 처리된다. 타겟 게이트 길이로부터의 각 나노미터 벗어남은 이들 디바이스들의 동작능력 및/또는 동작 속도로 직접 전이될 수도 있기 때문에, 수 나노미터 정도로 트랜지스터 게이트 임계 치수 (CD) 를 제어하는 것이 최우선 사항이다.
통상적으로, 기판은 에칭 이전에 (포토레지스트 마스크와 같은) 경화된 에멀션의 박막으로 코팅되어 있다. 그후, 경화된 에멀션의 영역들이 선택적으로 제거되어, 하부 층의 일부가 노출되도록 한다. 그후, 기판은 플라즈마 공정 챔버내에서 기판 지지 구조물 상에 놓여진다. 그후, 챔버 안으로 적절한 플라즈마 가스 세트가 도입되고 플라즈마를 발생시켜 기판의 노출된 영역들을 에칭한다.
에치 공정 동안, 에치 부산물들, 예를 들면, 탄소 (C), 산소 (O), 질소 (N), 불소 (F) 등으로 구성된 폴리머들이 종종 기판 에지 (또는 베벨 에지) 근방의 상부 및 하부 표면들상에 형성된다. 일반적으로, 에치 플라즈마 밀도는 기판 에지 근방에서 더 낮으며, 결과적으로 기판 베벨 에지의 상부 및 하부 표면들상에 폴리머 부산물들이 축적된다. 통상적으로, 예를 들면, 기판 에지로부터 약 5mm 내지 약 15mm 사이의 기판 에지 근방에 다이들이 존재하지 않는다. 그러나, 여러 상이한 에치 공정들의 결과로서 베벨 에지의 상부 및 하부 표면들상에 연속적인 부산물 폴리머 층들이 퇴적됨에 따라, 일반적으로 강하고 부착력이 있는 유기 결합들이 후속 처리 단계들 동안 결국 약화될 것이다. 그후, 기판 에지의 상부 및 하부 표면들 근방에 형성된 폴리머 층들은 박리되거나 벗겨져 떨어져서, 기판 수송시에 종종 다른 기판과 접촉하게 될 것이다. 예를 들면, 기판들은 보통, 종종 카세트 (cassette) 들이라고 불리는 실질적으로 청결한 용기들을 통해 플라즈마 처리 시스템들 사이에서 세트들로 이동한다. 보다 높이 위치된 기판이 용기내에서 재위치됨에 따라, 다이들이 존재하는 보다 낮은 기판상에 부산물 파티클들 (또는 플레이크들) 이 내려앉아, 잠재적으로 디바이스 수율에 영향을 미칠 수도 있다.
또한, 에치 부산물들은 에칭 공정 동안 핸들링 (handling) 또는 오염으로 인해 기판 지지체의 이면상에 증착될 수 있다. 기판 이면이 에칭 플라즈마에 노출되지 않기 때문에, 그 이면에 형성된 부산물 폴리머 층은 후속 에치 처리 단계들 동안 제거되지 않는다. 따라서, 기판 에지의 상부 및 하부 표면들 근방의 폴리머 층의 축적과 유사한 방식으로 부산물 폴리머 층이 또한 기판 이면상에 축적될 수 있고, 파티클 문제들을 초래할 수 있다. 게다가, 챔버 벽들과 같은 공정 챔버의 내부에 또한 에치 부산물 폴리머들이 축적될 수 있고, 부산물들 축적 및 챔버 파티클 문제들을 피하기 위해 이 에치 부산물 폴리머들은 주기적으로 제거되어야만 한다.
또한, SiN 및 SiO2와 같은 유전체 필름들과, Al 및 Cu와 같은 금속 필름들이 (상부 및 하부 표면들을 포함하여) 베벨 에지상에 증착될 수 있고, 에칭 공정들 동안 제거되지 못한다. 이들 필름들은 또한 후속 공정시 축적할 수 있고 벗겨져 떨어져서, 다비아스 수율에 영향을 미친다.
상기한 점을 감안하여, 폴리머 부산물들 및 증착된 필름들의 축적을 피하고 공정 수율을 향상시키기 위해, 챔버 내부 및 기판 이면상의 에치 부산물들, 및 기판 베벨 에지 근방의 에치 부산물들, 유전체 필름들 및 금속 필름들의 제거를 위한 개선된 메커니즘들을 제공하는 장치 및 방법이 필요하다.
대체적으로, 본 실시형태들은, 폴리머 부산물 및 증착된 필름들의 축적을 피하고 공정 수율을 향상시키기 위해, 챔버 내부 및 기판 이면상의 에치 부산물들, 및 기판 베벨 에지 근방의 에치 부산물들, 유전체 필름들 및 금속 필름들의 제거를 위한 개선된 메커니즘들을 제공함으로써 상기 필요성을 만족시킨다. 본 발명은, 공정, 장치, 또는 시스템으로서 포함하는, 여러 방식으로 구현될 수 있다는 것을 이해해야만 한다. 이하, 본 발명의 몇몇 발명의 실시형태들에 대해 서술한다.
일 실시형태에 있어서, 기판의 베벨 에지를 세정하도록 구성된 플라즈마 에치 공정 챔버가 제공된다. 상기 챔버는 상기 플라즈마 에치 공정 챔버 내의 기판 지지체를 둘러싸는 하부 에지 전극 (bottom edge electrode) 을 포함하며, 상기 기판 지지체는 상기 기판을 수용하도록 구성되며, 상기 하부 에지 전극 및 상기 기판 지지체는 하부 유전체 링에 의해 서로 전기적으로 절연되어 있다. 또한, 상기 챔버는 상기 기판 지지체에 대향하는 가스 분배 판을 둘러싸는 상부 에지 전극 (top edge electrode) 을 포함하며, 상기 상부 에지 전극 및 상기 가스 분배 판은 상부 유전체 링에 의해 서로 전기적으로 절연되어 있으며, 상기 상부 에지 전극 및 상기 하부 에지 전극은 세정 플라즈마를 발생시켜 상기 기판의 상기 베벨 에지를 세정하도록 구성된다.
다른 실시형태에 있어서, 공정 챔버의 챔버 내부를 세정하기 위해 기판 지지체에 연결된 원격 플라즈마 소스를 갖는 공정 챔버가 제공된다. 상기 챔버는 상기 공정 챔버 내의 기판 지지체, 및 상기 기판 지지체에 연결된 원격 플라즈마 소스를 포함하며, 상기 원격 플라즈마 소스는 세정 플라즈마를 공급하여 상기 공정 챔버의 상기 챔버 내부를 세정한다.
다른 실시형태에 있어서, 플라즈마 에치 공정 챔버 내의 기판의 베벨 에지를 세정하기 위한 장치가 제공된다. 상기 장치는 상부에 기판이 배치된 기판 지지체, 및 상기 베벨 에지의 세정 동안 상기 기판의 표면의 중심 부분을 덮고 상기 기판의 상기 베벨 에지를 노출시키는 덮개 판을 포함한다. 또한, 상기 장치는 상기 기판 위에 상기 덮개 판을 지지하는 덮개 판 지지체 어셈블리를 포함한다.
또 다른 실시형태에 있어서, 에치 공정 챔버 내에서 기판의 베벨 에지를 세정하는 방법이 제공된다. 상기 방법은 공정 챔버 내의 기판 지지체상에 기판을 배치하는 단계, 및 상기 기판 지지체로부터 이격되어 배치된, 가스 분배 판의 중심 근방에 위치된 가스 공급부를 통해 세정 가스 (또는 세정 공정 가스) 를 유입하는 단계를 포함한다. 또한, 상기 방법은 RF 전원에 의해 하부 에지 전극 또는 상부 에지 전극에 전력공급하고 상기 RF 전원에 의해 전력공급되지 않은 다른 하나의 에지 전극을 접지함으로써 상기 기판의 베벨 에지 근방에 세정 플라즈마를 발생시켜 상기 베벨 에지를 세정하는 단계를 포함하며, 상기 하부 에지 전극은 상기 기판 지지체를 둘러싸고, 상기 상부 에지 전극은 상기 가스 분배 판을 둘러싼다.
본 발명의 다른 양태들 및 이점은, 본 발명의 원리들을 예를 들어 도시한 첨부 도면과 관련하여 취해진 이하의 상세한 설명으로부터 명백하게 될 것이다.
본 발명은 첨부 도면과 관련한 이하의 상세한 설명에 의해 용이하게 이해될 것이며, 동일한 참조 부호들은 동일한 구조 엘리먼트들을 지칭한다.
도 1a는 1쌍의 상부 및 하부 에지 전극들을 갖는 기판 에칭 시스템의 일 실시형태의 개략도를 도시한다.
도 1aa는 도 1a의 영역 B의 확대된 개략도이다.
도 1b는 도 1a의 상부 및 하부 에지 전극들을 사용하여 기판 베벨 에지 근방의 부산물들을 에칭하는 공정 흐름도를 도시한다.
도 1c는 1쌍의 상부 및 하부 에지 전극들을 갖는 기판 에칭 시스템의 다른 실시형태의 개략도를 도시한다.
도 1d는 1쌍의 상부 및 하부 에지 전극들, 및 둘러싸는 유도 코일들을 갖는 기판 에칭 시스템의 일 실시형태의 개략도를 도시한다.
도 1e는 1쌍의 상부 및 하부 에지 전극들, 및 상기 1쌍의 에지 전극들을 둘러싸는 오버행 전극 (overhang electrode) 을 갖는 기판 에칭 시스템의 일 실시형태의 개략도를 도시한다.
도 1f는 1쌍의 상부 및 하부 에지 전극들, 및 상기 1쌍의 에지 전극들을 둘러싸는 오버행 전극을 갖는 기판 에칭 시스템의 다른 실시형태의 개략도를 도시한다.
도 1g는 1쌍의 상부 및 하부 에지 전극들, 및 상기 1쌍의 에지 전극들을 둘러싸는 오버행 전극을 갖는 기판 에칭 시스템의 또 다른 실시형태의 개략도를 도시한다.
도 1h는 1쌍의 상부 및 하부 에지 전극들, 및 상기 1쌍의 에지 전극들을 둘러싸는 중공 캐소드 전극을 갖는 기판 에칭 시스템의 일 실시형태의 개략도를 도시한다.
도 2a는 기판 지지체에 연결된 원격 플라즈마 세정 소스를 갖는 기판 에칭 시스템을 도시한다.
도 2b는 기판 이면을 세정하기 위한 공정 흐름도를 도시한다.
도 2c는 챔버 내부를 세정하기 위한 공정 흐름도를 도시한다.
도 3a는 기판 덮개 판을 갖는 기판 에칭 시스템의 일 실시형태의 개략도를 도시한다.
도 3b는 기판 덮개 지지체를 갖는 기판 덮개 판의 일 실시형태의 개략 평면도를 도시한다.
도 3c는 기판 덮개 판을 갖는 기판 에칭 시스템 내에서 기판 베벨 에지를 세정하기 위한 공정 흐름도를 도시한다.
몇몇 예시적인 실시형태들은, 폴리머 부산물 및 필름 축적을 피하고 공정 수율을 향상시키기 위해, 챔버 내부 및 기판 이면상의 에치 부산물들, 및 기판 베벨 에지 근방의 에치 부산물들, 유전체 필름들 및 금속 필름들을 제거하기 위한 개선된 메커니즘들을 제공한다. 여기에 설명된 구체적인 상세내용들 중 일부 또는 모두를 가지지 않고 본 발명을 실시할 수도 있다는 것이 당업자에게는 명백할 것이다.
도 1a는 에치 공정 챔버 (100) 의 일 실시형태를 도시한다. 챔버 (100) 는 상부에 기판 (150) 을 갖는 기판 지지체 (140) 를 가진다. 일 실시형태에 있어서, 기판 지지체 (140) 는 RF (radio frequency) 전원 (도시하지 않음) 에 의해 전력공급되는 정전 척이다. 다른 실시형태에 있어서, 기판 지지체 (140) 는 통상의 전극이다. 기판 지지체 (140) 는 DC (직류) 또는 RF 바이어스될 수 있다. 기판 지지체 (140) 에 대향하여, 가스 공급부 (161) 를 갖는 가스 분배 판 (160) 이 있다. 또한, 기판 지지체는 RF 전력공급, 바이어스, 또는 접지될 수 있다. 기판 (150) 의 에칭 동안, 챔버 (100) 는 RF 전력공급되어 용량 결합형 에치 플라즈마 또는 유도 결합형 에치 플라즈마를 발생시킬 수 있다. 도 1a의 영역 B 및 도 1aa의 확대된 영역 B에 도시된 바와 같이, 기판 (150) 은 기판 에지의 상부 및 하부 표면을 포함하는 베벨 에지 (117) 를 가진다. 도 1aa에서, 베벨 에지 (117) 는 굵은 실선과 곡선으로 강조되어 있다.
기판 지지체 (140) 의 에지를 둘러싸는, 알루미늄 (Al) 과 같은 도전성 재료들로 이루어진 하부 에지 전극 (120) 이 있다. 기판 지지체 (140) 와 하부 에지 전극 (120) 사이에, 기판 지지체 (140) 와 하부 에지 전극 (120) 을 전기적으로 분리하는 하부 유전체 링 (121) 이 있다. 일 실시형태에 있어서, 기판 (150) 은 하부 에지 전극 (120) 과 접촉하지 않는다. 하부 에지 전극 (120) 너머에, 기판 (150) 에 대면하여 하부 에지 전극 (120) 의 표면을 연장하는 다른 하부 절연 링 (125) 이 있다.
가스 분배 판 (160) 을 둘러싸는, 알루미늄 (Al) 과 같은 도전성 재료들로 이루어진 상부 에지 전극 (110) 이 있다. 상부 에지 전극 (110) 은 상부 유전체 링 (111) 에 의해 가스 분배 판 (160) 으로부터 전기적으로 절연되어 있다. 상부 에지 전극 (110) 너머에, 기판 (150) 에 대면하여 상부 에지 전극 (110) 의 표면을 연장하는 상부 절연 링 (115) 이 있다.
일 실시형태에 있어서, 하부 에지 전극 (120) 은 RF 전원 (123) 에 연결되고, 상부 에지 전극 (110) 은 접지되어 있다. 기판 베벨 에지 세정 공정 동안, RF 전원 (123) 은 약 100 와트 내지 약 2000 와트 사이의 전력 및 약 2MHz 내지 약 13MHz 사이의 주파수에서의 RF 전력을 공급하여 세정 플라즈마를 발생시킨다. 베벨 에지 세정 동안, 기판 지지체 (140) 및 가스 분배 판 (160) 은 전기적 플로팅 상태로 유지된다. 세정 플라즈마는, 상부 유전체 링 (111), 상부 에지 전극 (110), 상부 절연 링 (115), 하부 유전체 링 (121), 하부 에지 전극 (120), 및 하부 절연 링 (125) 에 의해 한정되도록 구성된다. 세정 가스(들)는 가스 공급부 (161) 를 통해 공급된다. 일 실시형태에 있어서, 가스 공급부 (161) 는 가스 분배 판 (160) 의 중심 근방에 위치된다. 다른 방식으로, 세정 가스(들)는 또한 공정 챔버 (100) 의 다른 부분에 배치된 가스 공급부(들)를 통해 공급될 수 있다.
에치 부산물 폴리머들을 세정하기 위해, 세정 가스들은 O2와 같은 산소-함유 가스를 포함할 수 있다. 또한, 일 실시형태에 있어서 10% 미만과 같은 적은 양의 CF4, SF6, 또는 C2F6과 같은 불소-함유 가스를 부가하여 폴리머를 세정할 수 있다. 또한, 그 가스 혼합물에 N2와 같은 질소-함유 가스가 포함될 수 있다는 것을 이해해야만 한다. 질소-함유 가스는 산소-함유 가스의 해리를 돕는다. 또한, Ar 또는 He와 같은 불활성 가스를 부가하여 가스를 희석하고 및/또는 플라즈마를 유지할 수 있다. 베벨 에지 (117) 에서, SiN 또는 SiO2와 같은 유전체 필름(들)을 세정하기 위해, CF4, SF6 또는 두 가스들의 조합과 같은 불소-함유 가스를 사용할 수 있다. 또한, Ar 또는 He와 같은 불활성 가스를 사용하여 불소-함유 가스를 희석하고 및/또는 세정 플라즈마를 유지할 수 있다. 베벨 에지 (117) 에서, Al 또는 Cu와 같은 금속 필름(들)을 세정하기 위해, Cl2 또는 BCl3, 또는 두 가스들의 조합과 같은 염소-함유 가스를 사용할 수 있다. 또한, Ar 또는 He와 같은 불활성 가스를 사용하여 염소-함유 가스를 희석하고 및/또는 플라즈마를 유지하여 금속 필름(들)을 세정할 수 있다.
일 실시형태에 있어서, 플라즈마가 한정되는 것을 보장하기 위해, 상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이의 간격, DEE는 1.5cm 미만이다. 1.5cm 미만의 DEE는 기판 에지 근방의 개구의 폭 (Dw) 과 갭 (DEE) 사이의 비율이 4:1 미만이 되도록 하여, 플라즈마 한정을 보장한다. Dw는 기판 에지 근방의 개구의 폭이다. 일 실시형태에 있어서, Dw는 하부 절연 링 (125) 의 폭 또는 상부 절연 링 (115) 의 폭이다. 챔버 압력은 베벨 에지 세정 공정 동안 약 100mTorr 내지 약 2Torr 사이로 유지된다. 베벨 에지 세정 공정 동안 가스 분배 판 (160) 과 기판 (150) 사이에 플라즈마가 형성되지 않도록 보장하기 위해, 가스 분배 판 (160) 과 기판 (150) 사이의 간격, Ds는 0.6mm 미만이다.
다른 실시형태에 있어서, 상부 에지 전극 (110) 에 RF 전원이 연결될 수 있으며, 하부 에지 전극 (120) 은 접지되어 용량 결합형 세정 플라즈마를 발생시킨다. 다른 방식으로, 상부 에지 전극 (110) 또는 하부 에지 전극 (120) 중 어느 하나는 유전체 재료에 내장된 유도 코일로 대체될 수 있다. 이 실시형태에 있어서, 유도 코일은 RF 전원에 연결되고, 대향하는 에지 전극은 접지된다. RF 전원은 전력을 공급하여 유도 결합형 에치 플라즈마를 발생시켜 베벨 에지 (117) 를 세정하다.
상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이 및 기판 에지 근방에 발생된 플라즈마는 기판의 기판 베벨 에지를 세정한다. 세정은 기판 베벨 에지에서 폴리머의 축적을 저감시키는 것을 도와서, 디바이스 수율에 영향을 미치는 파티클 결함의 가능성을 제거 또는 감소시킨다.
도 1b는 기판의 베벨 에지를 세정하기 위한 공정 흐름도 (150) 의 실시형태를 도시한다. 공정은 단계 151에서 공정 챔버 내의 기판 지지체상에 기판을 배치함으로써 시작된다. 그후, 공정은 단계 152에서 가스 분배 판의 중심 근방에 위치된 가스 공급부를 통해 세정 가스(들)를 유입시킨다. 그후, 단계 153에서, RF 전원을 사용하여 상부 에지 전극 또는 하부 에지 전극 중 어느 하나에 전력공급하고 RF 전원에 의해 전력공급되지 않는 다른 하나의 에지 전극을 접지시킴으로써 기판의 베벨 에지 근방에 세정 플라즈마를 발생시킨다.
*도 1c는 베벨 에지 세정 메커니즘의 다른 실시형태를 도시한다. 이 실시형태의 공정 챔버 (100C) 내의 컴포넌트들은 도 1a에 도시한 것과 매우 유사하다. 차이점은, 이 실시형태에서는, 하부 에지 전극 (120') 이 기판 (150) 의 하부 표면과 접촉되도록 내측으로 이동되어 있다는 점이다. 하부 에지 전극 (120') 은 전적으로 기판 (150) 아래에 있어서 세정 공정 동안 하부 에지 전극 (120') 이 스퍼터링되지 않는 것을 보장한다. RF 전원 (123) 은 RF 전력을 공급하며, RF 전력은 기판 (150) 을 통해 전송되어 접지된 상부 에지 전극 (110') 에 의해 세정 플라즈마를 발생시킨다. 세정 플라즈마는 베벨 에지를 세정한다. 기판을 통한 직접적인 RF로 인해, 기판은 도 1a에 도시된 실시형태에 비해 보다 높은 DC 전위를 가진다. 기판상의 보다 높은 DC 전위는 보다 높은 이온 에너지 및 보다 높은 에치 속도를 초래한다.
도 1d는 베벨 에지 세정 장치의 다른 실시형태를 도시한다. 이 실시형태에 있어서, 공정 챔버 (100D) 내의 컴포넌트들은 도 1a에서의 컴포넌트들과 유사하다. 차이점은, 유도 코일(들) (170) 이 상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이의 간격 및 기판 베벨을 둘러싸고 있다는 점이다. 유도 코일 (170) 은 유전체 재료 (171) 에 내장되어 있고 RF 전원 (175) 에 연결되어 있다. 일 실시형태에 있어서, 유전체 재료 (171) 는 유전체 지지체 (172) 에 연결되어 있다.
유도 코일(들) (170) 은 RF 전원 (175) 에 연결되어 있다. 일 실시형태에 있어서, 베벨 세정 공정 동안, RF 전원 (175) 은 약 2MHz 내지 약 13MHz 사이의 범위의 RF 전력을 공급하여 기판 베벨 근방에 유도 플라즈마를 발생시킨다. 상부 에지 전극 (110) 및 하부 에지 전극 (120) 은 둘다 접지되어 유도 플라즈마를 위한 리턴 경로를 제공한다. 발생된 플라즈마는 베벨 에지 및 기판 이면을 유효하게 세정할 수 있다. 유도 코일 (170) 은 고밀도 플라즈마를 제공하여 베벨 에지를 유효하게 세정할 수 있다.
또한, 도 1d에 도시된 유도 코일 (170) 은 플라즈마를 발생시키는데 사용되어 기판 이면 및 챔버 벽을 세정할 수 있다. 기판 이면 세정 동안, 기판 (150) 은 리프트 핀들 (도시하지 않음) 에 의해 기판 지지체 (140) 로부터 멀어지게 들어올려진다. 일 실시형태에 있어서, 공정 챔버 내의 압력은 500 mTorr 미만으로 유지된다. 보다 낮은 챔버 압력은 세정 플라즈마가 기판 이면 아래에서 확산하도록 한다. 기판 이면 세정을 위해, 가스 분배 판 (160) 과 기판 (150) 사이에 플라즈마가 형성되지 않는 것을 보장하기 위해, 가스 분배 판 (160) 과 기판 (150) 사이의 간격 요건, Ds는 여전히 0.6mm 미만으로 유지되어야만 한다. 그러나, 상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이의 간격, DEE는, 더 이상 필요하지 않다. 세정 플라즈마는 상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이 또는 상부 절연 링 (115) 과 하부 절연 링 (125) 사이에 한정될 필요가 없다. 세정 플라즈마는 기판 이면을 세정하기 위해 들어올려진 기판 (150) 아래에서 확산되어야만 한다. 또한, 기판 이면을 세정하는데 사용되는 것과 유사한 구성들 및 공정들을 이용하여 챔버 벽들을 포함한 챔버 내부에 축적된 에치 부산물들을 세정할 수 있다는 것을 이해해야만 한다. 챔버 내부 세정 동안, 기판은 챔버 내에 머무를 수 있고 또는 챔버로부터 제거될 수 있다. 일 실시형태에 있어서, 기판 이면 세정 및 챔버 내부 세정은 동시에 수행될 수 있다.
또한, 상술한 바와 같이, 용량 결합형 플라즈마를 사용하여 베벨 에지를 세정하고 기판 이면을 세정할 수 있다. 베벨 에지를 세정하기 위해, 사용된 RF 전력의 주파수는 약 2MHz 내지 약 13MHz 사이이다. 챔버 에지를 세정하기 위해, RF 전력의 주파수는 약 27MHz 내지 약 60MHz 사이이다. 도 1e는 이중 RF 주파수들을 이용하여 베벨 에지, 기판 이면 및 챔버 내부를 세정하도록 하는 다른 실시형태를 도시한다. 이 실시형태에 있어서, 상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이의 개구를 둘러싸는 도전성 오버행 (171) 이 배치된다. 일 실시형태에 있어서, 도전성 오버행 (171) 은 고주파 RF 전원 (177) 에 연결되며, 상부 에지 전극 (110) 은 저주파 RF 전원 (175) 에 연결되고, 하부 에지 전극 (120) 은 접지된다. 고주파 RF 전력은 약 27MHz 내지 약 60MHz 사이의 주파수를 가진다. 저주파 RF 전력은 약 2MHz 내지 약 13MHz 사이의 주파수를 가진다. 기판 이면 및 챔버 내부 세정 동안, 고주파 RF 전원 (177) 은 RF 전력을 공급하여 세정 플라즈마를 발생시킨다. 상부 에지 전극 (110) 은 접지된다. 일 실시형태에 있어서, 챔버 압력은 500mTorr 미만으로 유지된다. 기판은 리프트 핀들 (도시하지 않음) 에 의해 기판 지지체 위로 들어올려진다. 상부 에지 전극과 하부 에지 전극 사이의 1.5cm 미만의 간격 요건은 더 이상 필요없다. 세정 플라즈마는 기판 이면 아래에서 확산되어 세정을 위해 챔버 내부의 다른 부분들로로 확산되어야만 한다. 그러나, 가스 분배 판 (160) 과 기판 (150) 사이에 플라즈마가 형성되지 않는 것을 보장하기 위해, 가스 분배 판 (160) 과 기판 (150) 사이의 0.6mm 미만의 간격 요건은 여전히 필요하다. 500mTorr 미만의 비교적 낮은 챔버 압력은 처리 플라즈마가 기판 아래에서 확산하도록 하여, 챔버 세정 플라즈마가 전체 챔버를 세정할 수 있다.
베벨 에지 세정 동안, 저주파 RF 전원 (175) 은 RF 전력을 공급하여 세정 플라즈마를 발생시킨다. 도전성 오버행은 접지된다. 일 실시형태에 있어서, 챔버 압력은 약 100mTorr 내지 약 2Torr 사이로 유지된다. 플라즈마가 한정되고 플라즈마가 가스 분배 판 (160) 과 기판 (150) 사이에 형성되지 않는 것을 보장하기 위해, 이 실시형태내에서는 상부 에지 전극과 하부 에지 전극 사이의 1.5cm 미만의 간격 요건 및 가스 분배 판 (160) 과 기판 (150) 사이의 0.6mm 미만의 간격 요건을 따라야만 한다.
(도 1f에 도시된) 다른 실시형태에 있어서, 상부 에지 전극 (110) 은 저주파 RF 전원 (175) 에 연결되며, 하부 에지 전극 (120) 은 고주파 RF 전원 (177) 에 연결된다. 도전성 오버행 (171) 은 접지된다. 공정 조건 및 구성들은 도 1e의 실시형태에 기재된 것과 유사하다. 다른 방식으로, 상부 에지 전극 (110) 은 약 27MHz 내지 약 60MHz 사이의 고주파 RF 전원 (177) 에 연결될 수 있으며, 하부 에지 전극 (120) 은 약 2MHz 내지 약 13MHz 사이의 저주파 RF 전원에 연결될 수 있다.
(도 1g에 도시된) 또 다른 실시형태에 있어서, 상부 에지 전극 (110) 과 도전성 오버행 (171) 은 둘다 접지되어 있다. 하부 에지 전극 (120) 은 2종류의 RF 전력들 (하나는 약 2MHz와 약 13MHz 사이이며, 다른 하나는 약 27MHz와 약 60MHz 사이임) 을 공급할 수 있는 2개의 RF 전원들 (175, 177) 에 연결되어 있다. 베벨 세정 동안, 약 2MHz 내지 약 13MHz 사이의 전력을 공급하는 RF 전원이 턴온된다. 기판 이면 세정 동안, 약 27MHz와 약 60MHz 사이의 전력을 공급하는 RF 전원이 턴온된다. 다른 방식으로, 상부 에지 전극 (110) 이 2개의 RF 전원들 (175, 177) 에 연결될 수 있고, 하부 에지 전극 (120) 및 도전성 오버행 (171) 이 접지된다.
도 1h는 다른 실시형태를 도시하고 있다. 상부 에지 전극 (110) 과 하부 에지 전극 (120) 을 각각 둘러싸는 유전체 링들 (115, 125) 외측에, 알루미늄 (Al) 과 같은 도전성 재료로 이루어진 중공 캐소드 링 (174) 이 있다. 중공 캐소드 링 (174) 은 베벨 에지에 대면하는 개구 (176) 를 가진다. 일 실시형태에 있어서, 개구 (176) 의 폭은 약 1.0cm 보다 크다. 중공 캐소드 링 (174) 은 RF 전원 (175) 에 연결되며, 상부 에지 전극 (110) 및 하부 에지 전극 (120) 은 둘다 접지된다. 이 실시형태에서는 가스 분배 판 (160) 및 기판 지지체 (140) 가 플로팅 상태로 유지된다. 일 실시형태에 있어서, 중공 캐소드 링 (174) 은 RF 전원 (175) 에 의해 전력공급되어 플라즈마 공정 가스를 발생시켜 베벨 에지를 세정한다. RF 전원 (175) 은 약 2MHz 내지 약 13MHz 사이의 전력을 공급한다. 다른 실시형태에 있어서, 중공 캐스드 링 (174) 은 RF 전원 (177) 에 의해 전력공급되어 플라즈마 공정 가스를 발생시켜 기판 이면 및/또는 챔버 내부를 세정한다. RF 전원 (177) 은 약 27MHz와 약 60MHz 사이의 전력을 공급한다. 일 실시형태에 있어서, 공정 가스는 기판 중심 근방의 가스 공급부 (161) 를 통해 공급된다. 중공 캐소드 링 (174) 은, 베벨 에지를 세정할 때 매우 유효한, 예컨대, 약 1E10 내지 약 1E11 사이의 범위의 고밀도 플라즈마를 발생시킨다. 이 실시형태에 대하여, 상부 에지 전극 (110) 과 하부 에지 전극 (120) 사이의 1.5cm 미만의 DEE의 간격 요건은 더 이상 필요없다. 그러나, 가스 분배 판 (160) 과 기판 (150) 사이에 플라즈마가 형성되지 않도록 보장하기 위해, 가스 분배 판 (160) 과 기판 (150) 사이의 요건은 여전히 필요하다.
도 2a는 본 발명의 다른 실시형태를 도시한다. 이 실시형태에 있어서, 공정 챔버 (200) 는 상부 가스 분배 판 (260) 과 기판 지지체 (240) 를 가진다. 일 실시형태에 있어서, 가스 분배 판 (260) 은 또한 전극일 수 있고, 기판 지지체 (240) 는 RF 전원 (245) 에 연결되는 정전 척일 수 있다. 다른 실시형태에 있어서, 기판 지지체 (240) 는 기판 지지체 (240) 를 승강 이동시킬 수 있는 이동 어셈블리 (230) 에 연결된다. 기판 (250) 의 에칭 동안, RF 전원 (245) 은 RF 전력을 공급하여 접지된 상부 전극 (260) 에 의해 용량 결합형 에치 플라즈마를 발생시켜 기판 (250) 을 에칭한다. 이 에치 구성은 단지 예시로서 이용된다. 또한, 용량형 또는 유도형 플라즈마를 발생시켜 기판 (250) 을 에칭하기 위한 다른 에치 구성들을 이용할 수 있다.
가스 공급부 (263) 가 기판 지지체 (240) 에 내장되어 있어, 원격 발생된 세정 플라즈마를 기판 (250) 의 이면에 공급하여 기판 이면을 세정하거나 또는 원격 발생된 세정 플라즈마를 챔버 (200) 에 공급하여 공정 챔버 (200) 를 세정한다. 기판 이면 세정 동안, 리프트 핀들 (290) 은 기판 (250) 을 들어올려 기판 지지체 (240) 로부터 분리시킨다. 원격 세정 플라즈마 소스 (270 또는 270') 는 세정 플라즈마를 기판 (250) 이면에 제공하여 기판 이면을 세정한다. 일 실시형태에 있어서, 원격 세정 플라즈마는 도 2a에서 마이크로파 소스 (270) 로서 도시된 마이크로파에 의해 발생된다. 다른 실시형태에 있어서, 원격 세정 플라즈마는 도 2a에서 용량 결합형 플라즈마 (CCP) 소스 (270') 로서 도시된 용량 결합형 플라즈마 (CCP) 로서 1쌍의 용량 결합형 전극들에 의해 발생된다. 또한, 유도 결합형 플라즈마 발생기와 같은 다른 종류의 구성들을 사용하여 원격 플라즈마를 발생시킬 수 있다. 전술한 바와 같이, 기판 이면 세정 동안, 챔버 압력은 500mTorr 미만으로 유지되어 세정 플라즈마가 기판 (250) 아래에서 확산되도록 (또는 퍼지도록) 한다.
또한, 기판 지지체 (240) 에 내장된 가스 공급부 (263) 를 통해 공급된 원격 플라즈마를 사용하여 챔버 벽들 (201) 을 포함한 챔버 내부를 세정할 수 있다. 챔버 내부의 세정 동안, 기판 (250) 은 리프트 핀들 (290) 상에 체류하거나 또는 기판 챔버 (200) 로부터 제거될 수 있다. 챔버 내부 세정 동안, 챔버 압력은 5 Torr 미만으로 유지된다.
도 2b는 기판 이면을 세정하기 위한 공정 흐름도 (250) 의 일 실시형태를 도시한다. 단계 251에서, 공정 챔버 내의 기판 지지체로부터 기판을 들어올린다. 단계 252에서, 기판 지지체에 내장된 가스 공급부를 통해 원격 발생된 세정 플라즈마를 유입하여 기판 이면을 세정한다. 도 2c는 공정 챔버를 세정하기 위한 공정 흐름도 (255) 의 일 실시형태를 도시한다. 단계 256에서, 기판 공정 챔버로부터 기판을 제거한다. 공정 챔버는 증착 챔버, 에치 챔버, 또는 이러한 개념이 이로울 수 있는 다른 종류의 챔버들일 수 있다. 단계 257에서, 기판 지지체에 내장된 가스 공급부를 통해 원격 발생된 세정 플라즈마를 유입하여 공정 챔버 내부를 세정한다.
도 3a는 기판 베벨 에지를 세정하는 메커니즘의 일 실시형태를 도시한다. 이 실시형태에 있어서, 베벨 세정 동안 기판 (350) 위에 덮개 판 (375) 이 배치된다. 일 실시형태에 있어서, 기판 표면과 기판 (350) 에 대면되는 덮개 판 (375) 표면 사이의 간격은 약 0.5mm 미만이다. 덮개 판 (375) 은 석영 또는 세라믹과 같은 유전체 재료들로 이루어진다. 덮개 판은 기계적 메커니즘 (373) 에 의해 승강 이동될 수 있는 지지체 어셈블리 (370) 에 의해 지지된다. 덮개 판 지지체 어셈블리 (370) 는 유전체 재료들로 이루어질 수 있고 또는 유전체 코팅을 가진다. 유전체 재료들의 예로는 석영 및 세라믹을 포함한다. 기판 에칭 동안, 덮개 판 (375) 은 기판 표면으로부터 제거된다. 덮개 판 지지체 어셈블리 (370) 는 에칭 공정 동안 기판 (350) 과 동일한 높이로 또는 기판 (350) 아래로 이동된다. 베벨 에지 세정 동안, 용량 결합형 플라즈마 또는 유도 결합형 플라즈마를 발생시켜 기판 베벨 에지를 세정할 수 있다. 도 3a에 도시된 실시형태에 있어서, 유도 코일(들) (360) 이 챔버 (300) 외측에 배치된다. 유도 코일(들) (360) 은 RF 전원 (377) 에 연결된다. 기판 지지체 (340) 는 접지되어 유도 플라즈마를 위한 리턴 경로를 제공한다. 가스 공급부 (361) 를 통해 또는 다른 가스 공급부 구성들에 의해 공정 챔버의 상부에서 공정 가스가 공급될 수 있다.
유도 플라즈마는 기판 베벨 에지를 세정할 수 있고, 덮개 판은 주요 기판 표면을 보호한다. 도 3b는 덮개 판 (375) 및 덮개 판 지지체 어셈블리 (370) 의 평면도를 도시한다. 덮개 판 지지체 어셈블리 (370) 는 덮개 판을 지지하는데 사용되는 다수의 지지체 핑거들 (374) 을 가진다. 일 실시형태에 있어서, 덮개 판 지지체 어셈블리 (370) 는 다수의 조각들 (I, II 및 III) 로 이루어지며, 이 다수의 조각들은 에칭 공정 동안 덮개 판 지지체 어셈블리 (370) 가 기판 (350) 아래로 이동하도록 한다.
도 3c는 베벨 에지 세정 공정 흐름도 (350) 를 도시한다. 공정은, 단계 351에서, 기판 지지체 상에 놓인 기판 위에 덮개 판을 배치함으로써 시작한다. 덮개 판은 덮개 판 지지체 상에 배치된다. 덮개 판은 기판의 중심을 덮으며, 기판 베벨 에지 (또는 덮개 판 (375) 의 에지와 덮개 판 지지체 어셈블리 (370) 의 에지 사이의 영역) 를 노출시킨 채로 둔다. 단계 352에서, 공정 챔버에 세정 가스(들)를 유입한다. 단계 353에서, 전극에 RF 전력을 공급하여 세정 플라즈마를 발생시켜 기판의 베벨 에지를 세정한다.
상기 서술된 실시형태들은 베벨 에지, 기판 이면 및 챔버 내부를 세정하기 위한 개선된 장치 및 방법을 제공한다. 베벨 에지, 기판 이면 및 챔버 내부를 세정하는 상술한 실시형태들 및 개념들은, 유전체 에치 챔버들, 또는 금속 에치 챔버를 포함하는 도체 에치 챔버들에 적용될 수 있다. 또한, 상기 서술한 실시형태들 및 개념들은 다른 종류의 에치 챔버들, 적용가능한 증착 챔버들, 및 적용가능한 공정 챔버들에 적용될 수 있다.
베벨 에지, 기판 이면, 및 챔버 내부의 세정을 위한 개선된 장치 및 방법들은 챔버 내부 또는 기판상의 원치않는 에치 부산물들 및 증착된 필름들의 축적을 감소시키고 디바이스 수율을 향상시킨다.
전술한 발명에 대해 명료하게 이해시키기 위해 일부 상세하게 서술되었지만, 첨부된 청구범위의 취지내에서 특정 변화 및 변형이 이루어질 수도 있다는 것이 자명할 것이다. 따라서, 본 실시형태들은 한정을 위한 것이 아니라 예시로서 고려되며, 본 발명은 여기에 주어진 상세내용들에 제한되지 않고, 첨부된 청구범위의 취지 및 등가물 내에서 변형될 수도 있다.

Claims (10)

  1. 공정 챔버의 챔버 내부를 세정하기 위해 기판 지지체에 연결된 원격 플라즈마 소스를 갖는 공정 챔버로서,
    상기 공정 챔버 내의 기판 지지체; 및
    상기 기판 지지체에 연결된 원격 플라즈마 소스를 포함하며,
    상기 원격 플라즈마 소스는 상기 공정 챔버의 상기 챔버 내부를 세정하기 위한 세정 플라즈마를 공급하는, 원격 플라즈마 소스를 갖는 공정 챔버.
  2. 제 1 항에 있어서,
    상기 원격 플라즈마는 마이크로파에 의해 발생되는, 원격 플라즈마 소스를 갖는 공정 챔버.
  3. 제 1 항에 있어서,
    상기 원격 플라즈마는 용량 또는 유도 결합형 플라즈마인, 원격 플라즈마 소스를 갖는 공정 챔버.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
KR1020127031863A 2005-09-27 2006-09-26 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들 KR101341711B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/237,327 US20070068623A1 (en) 2005-09-27 2005-09-27 Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US11/237,327 2005-09-27
US11/440,561 US7909960B2 (en) 2005-09-27 2006-05-24 Apparatus and methods to remove films on bevel edge and backside of wafer
US11/440,561 2006-05-24
PCT/US2006/037648 WO2007038580A2 (en) 2005-09-27 2006-09-26 Apparatus and methods to remove films on bevel edge and backside of wafer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087010107A Division KR101265827B1 (ko) 2005-09-27 2008-04-25 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치및 방법들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137013815A Division KR101369131B1 (ko) 2005-09-27 2006-09-26 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들

Publications (2)

Publication Number Publication Date
KR20130018915A KR20130018915A (ko) 2013-02-25
KR101341711B1 true KR101341711B1 (ko) 2013-12-16

Family

ID=37820632

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020137013815A KR101369131B1 (ko) 2005-09-27 2006-09-26 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들
KR1020127031863A KR101341711B1 (ko) 2005-09-27 2006-09-26 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들
KR1020087010107A KR101265827B1 (ko) 2005-09-27 2008-04-25 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137013815A KR101369131B1 (ko) 2005-09-27 2006-09-26 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020087010107A KR101265827B1 (ko) 2005-09-27 2008-04-25 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치및 방법들

Country Status (5)

Country Link
US (2) US7909960B2 (ko)
KR (3) KR101369131B1 (ko)
MY (1) MY169549A (ko)
TW (1) TWI381440B (ko)
WO (1) WO2007038580A2 (ko)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7659206B2 (en) * 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP4705816B2 (ja) * 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US8268116B2 (en) * 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
JP2010524225A (ja) * 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
KR100905845B1 (ko) 2007-09-06 2009-07-02 주식회사 하이닉스반도체 웨이퍼 에지 식각 장치 및 이를 이용한 웨이퍼 에지 식각방법
US7981307B2 (en) * 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge
KR101380861B1 (ko) * 2007-11-09 2014-04-03 참엔지니어링(주) 플라즈마 에칭 챔버
SG186015A1 (en) * 2007-11-21 2012-12-28 Lam Res Corp Bevel plasma treatment to enhance wet edge clean
KR101397414B1 (ko) * 2008-02-11 2014-05-20 (주)소슬 웨이퍼 백사이드 식각을 위한 플라즈마 처리장치
KR101402234B1 (ko) * 2008-02-11 2014-05-30 (주)소슬 플라즈마 식각 장치
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US9136105B2 (en) * 2008-06-30 2015-09-15 United Microelectronics Corp. Bevel etcher
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8414790B2 (en) * 2008-11-13 2013-04-09 Lam Research Corporation Bevel plasma treatment to enhance wet edge clean
US8262923B2 (en) * 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
KR101540609B1 (ko) * 2009-02-24 2015-07-31 삼성전자 주식회사 웨이퍼 에지 식각 장치
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
CN103861844A (zh) * 2012-12-18 2014-06-18 上海华虹宏力半导体制造有限公司 Pad刻蚀机台工艺腔的清洁方法
JP5861045B2 (ja) * 2013-03-28 2016-02-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
KR102162187B1 (ko) 2018-08-31 2020-10-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20200080460A (ko) 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
US10892404B1 (en) * 2019-07-09 2021-01-12 International Business Machines Corporation Sacrificial buffer layer for metal removal at a bevel edge of a substrate
TW202119538A (zh) 2019-09-19 2021-05-16 美商應用材料股份有限公司 用於清潔基座加熱器的原位dc電漿
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
US11081643B1 (en) 2020-01-21 2021-08-03 International Business Machines Corporation Bevel metal removal using ion beam etch
US20220108872A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Bevel backside deposition elimination
CN114446749B (zh) * 2020-11-02 2023-10-24 长鑫存储技术有限公司 刻蚀机的气体分布板的拆装装置和刻蚀机
WO2023244676A1 (en) * 2022-06-16 2023-12-21 Applied Materials, Inc. Stackable plasma source for plasma processing

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002520835A (ja) * 1998-07-13 2002-07-09 エーケーティー株式会社 処理装置用ガス分配プレート

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3151014B2 (ja) 1991-09-20 2001-04-03 住友精密工業株式会社 ウエーハ端面のエッチング方法とその装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH06338475A (ja) 1993-05-31 1994-12-06 Kawasaki Steel Corp 半導体製造装置
JPH07142449A (ja) * 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
JPH08158072A (ja) 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
JP3521587B2 (ja) 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5693241A (en) * 1996-06-18 1997-12-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Atmospheric pressure method and apparatus for removal of organic matter with atomic and ionic oxygen
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5992463A (en) * 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5961772A (en) * 1997-01-23 1999-10-05 The Regents Of The University Of California Atmospheric-pressure plasma jet
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6153044A (en) * 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534921B1 (en) * 2000-11-09 2003-03-18 Samsung Electronics Co., Ltd. Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020142612A1 (en) * 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
KR100433008B1 (ko) 2001-04-18 2004-05-31 (주)소슬 플라즈마 식각 장치
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
WO2004100247A1 (ja) * 2003-05-12 2004-11-18 Sosul Co., Ltd. プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステム
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
DE102004024893A1 (de) 2003-05-27 2005-04-14 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zum Ätzen eines Wafer-Rands
KR100585198B1 (ko) * 2003-07-18 2006-06-01 위순임 웨이퍼 에지 처리용 플라즈마 발생장치
KR100558925B1 (ko) * 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US7078350B2 (en) 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
JP2007142449A (ja) 2007-01-09 2007-06-07 Nsk Ltd 基板搬送装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002520835A (ja) * 1998-07-13 2002-07-09 エーケーティー株式会社 処理装置用ガス分配プレート

Also Published As

Publication number Publication date
US8308896B2 (en) 2012-11-13
US7909960B2 (en) 2011-03-22
KR101369131B1 (ko) 2014-03-04
TW200721302A (en) 2007-06-01
WO2007038580A2 (en) 2007-04-05
US20110209725A1 (en) 2011-09-01
TWI381440B (zh) 2013-01-01
KR20080054419A (ko) 2008-06-17
WO2007038580A3 (en) 2007-08-09
KR20130064149A (ko) 2013-06-17
KR20130018915A (ko) 2013-02-25
US20070068900A1 (en) 2007-03-29
MY169549A (en) 2019-04-22
KR101265827B1 (ko) 2013-05-20

Similar Documents

Publication Publication Date Title
KR101341711B1 (ko) 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들
US7938931B2 (en) Edge electrodes with variable power
US9564308B2 (en) Methods for processing bevel edge etching
CN101273430B (zh) 去除晶片的斜面边缘和背部上的膜的装置和方法
US7572737B1 (en) Apparatus and methods for adjusting an edge ring potential substrate processing
TWI455204B (zh) 基板處理用之邊緣環裝置
US20030217812A1 (en) Plasma etching equipment and method for manufacturing semiconductor device
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
KR20080106427A (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
CN112259457B (zh) 等离子体蚀刻方法、等离子体蚀刻装置和基板载置台
CN107731681B (zh) 等离子体蚀刻方法和等离子体蚀刻系统
CN110808228B (zh) 蚀刻方法和半导体器件的制造方法
JPH01231322A (ja) プラズマプロセス装置
KR100672696B1 (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법
KR20060135714A (ko) 기판처리 장치 및 반도체 장치의 제조방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 4