KR20080106427A - 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치 - Google Patents

플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치 Download PDF

Info

Publication number
KR20080106427A
KR20080106427A KR1020087022151A KR20087022151A KR20080106427A KR 20080106427 A KR20080106427 A KR 20080106427A KR 1020087022151 A KR1020087022151 A KR 1020087022151A KR 20087022151 A KR20087022151 A KR 20087022151A KR 20080106427 A KR20080106427 A KR 20080106427A
Authority
KR
South Korea
Prior art keywords
electrodes
coat
plasma
gas
silicon
Prior art date
Application number
KR1020087022151A
Other languages
English (en)
Other versions
KR101336479B1 (ko
Inventor
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080106427A publication Critical patent/KR20080106427A/ko
Application granted granted Critical
Publication of KR101336479B1 publication Critical patent/KR101336479B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트하기 위한 장치가 개시된다. 본 장치는, 제 1 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 1 플라즈마 챔버 구역을 정의하는 제 1 셋트의 RF 전극들을 포함한다. 본 장치는 또한, 제 1 셋트의 RF 전극들 주위에 배치된 제 1 셋트의 한정 링들; 및 제 1 셋트의 한정 링들과 챔버 벽 사이에 배치된 제 2 셋트의 한정 링들을 포함한다. 본 장치는, 제 1 프리-코트 가스가 전달되고 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 제 1 플라즈마 구역에 제 1 프리-코트 레이어를 도포하도록 구성된 가스 전달 시스템을 더 포함한다. 본 장치는 또한, 제 2 프리-코트 가스가 전달되고 제 2 셋트의 RF 전극들에 에너지가 가해질 때, 제 2 플라즈마 구역에 제 2 프리-코트 레이어를 도포하도록 구성된 가스 전달 시스템을 포함한다.
Figure P1020087022151
플라즈마 프로세싱 챔버, 선택적 프리-코트, RF 전극, 한정 링, 가스 전달 시스템

Description

플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치{METHODS AND APPARATUS FOR SELECTIVE PRE-COATING OF A PLASMA PROCESSING CHAMBER}
발명의 배경
본 발명은 일반적으로 기판 제조 기술에 관한 것이고, 보다 상세하게는, 플라즈마 프로세싱 챔버의 선택적 프리-코트 (pre-coat) 를 위한 방법 및 장치에 관한 것이다.
기판 (예를 들어, 반도체 기판 또는 평판 패널 디스플레이 제조에 사용되는 것과 같은 유리 패널) 프로세싱에 있어서, 플라즈마가 종종 사용된다. 예를 들어 플라즈마 챔버에서의 기판 프로세싱의 일부로서, 기판은 복수의 다이들, 또는 직사각형 영역들로 분할되고, 이들 각각은 집적 회로가 될 것이다. 그 다음 기판은, 그 기판 상에 전기적 컴포넌트들을 형성하기 위해 재료가 선택적으로 제거 (에칭) 및 증착 (증착) 되는 일련의 단계들에서 프로세싱된다.
플라즈마 프로세스를 최적화하기 위해, 플라즈마 챔버 내의 많은 표면들은, 기판에 다시 영향을 미칠 수도 있는 오염 물질들을 실질적으로 증가시킴이 없이 표면이 닳는 것을 최소화하는 것을 돕는, 내플라즈마 재료들 (plasma resistant materials) (예를 들어, 실리콘, 실리콘 탄화물, 실리콘 질화물, 석영 (quartz) 등) 로 더 구성된다. 하지만, 플라즈마 쉬스 (plasma sheath) 에 대한 지속적인 노출은 보호 물질을 에칭하고 종국적으로 제거하며, 종종 표면 입자 오염을 초 래하고, 따라서, 더 낮은 기판 수율을 초래한다.
일반적으로, 플라즈마 쉬스는 플라즈마 에지로부터의 전하를 띤 입자들 (예를 들어, 이온들 등) 이 플라즈마 챔버의 표면들을 스트라이크하도록 가속하는 경향이 있다. 종국적으로, 프로세싱 챔버는 부식되고, 따라서, 플라즈마 프로세스에 대한 장기적인 안정성을 보장하기 위해서는 프로세싱 챔버가 교체될 필요가 있다. 결과적으로, 실질적인 운용 비용 및 정지 시간이, 화학적 성질, 프로세스 압력, 및 상기 플라즈마를 발생시키는데 사용되는 전력량에 따라 기판 제조 프로세스에 부가될 수도 있다.
전술한 바를 고려하여, 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치가 소망된다.
발명의 요약
본 발명은, 일 실시형태에서, 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트하는 장치에 관한 것이다. 본 장치는, 제 1 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 1 플라즈마 챔버 구역을 정의하는, 제 1 셋트의 RF 전극들을 포함한다. 본 장치는 또한, 제 1 셋트의 RF 전극들 주위에 배치된 제 1 셋트의 한정 링들; 및 제 1 셋트의 한정 링들과 챔버 벽 사이에 배치된 제 2 셋트의 한정 링들을 포함한다. 본 장치는, 제 1 프리-코트 가스가 전달되고 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 제 1 플라즈마 구역에 제 1 프리-코트 레이어를 도포하도록 구성된 가스 전달 시스템을 더 포함한다.
본 발명은, 일 실시형태에서, 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트하는 장치를 포함한다. 본 장치는, 제 1 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 1 플라즈마 챔버 구역을 정의하는, 제 1 셋트의 RF 전극들을 포함한다. 본 장치는 또한, 제 2 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 2 플라즈마 챔버 구역을 정의하는, 제 2 셋트의 RF 전극들을 포함한다. 본 장치는, 제 1 셋트의 RF 전극들과 제 2 셋트의 RF 전극들 사이에 배치된 제 1 셋트의 한정 링들; 및 제 2 셋트의 RF 전극들과 챔버 벽 사이에 배치된 제 2 셋트의 한정 링들을 더 포함한다. 본 장치는 또한, 제 1 프리-코트 가스가 전달되고 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 제 1 플라즈마 구역을 프리-코트하도록 구성되고, 제 2 프리-코트 가스가 전달되고 제 2 셋트의 RF 전극들에 에너지가 가해질 때, 제 2 플라즈마 구역을 프리-코트하도록 또한 구성된 가스 전달 시스템을 포함한다.
본 발명은, 일 실시형태에서, 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트하는 방법에 관한 것이다. 본 방법은, 제 1 플라즈마 챔버 구역을 정의하는 제 1 셋트의 RF 전극들이 제 1 프리-코트 플라즈마를 스트라이크하도록 구성하는 단계를 포함한다. 본 방법은 또한, 제 1 셋트의 RF 전극들 주위에 제 1 셋트의 한정 링들을 구성하는 단계; 및 제 1 셋트의 한정 링들과 챔버 벽 사이에 제 2 셋트의 한정 링들을 구성하는 단계를 포함한다. 본 장치는, 제 1 프리-코트 가스가 전달되고 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 제 1 플라즈마 구역에 제 1 프리-코트 레이어를 도포하도록 가스 전달 시스템을 구성하는 단계를 더 포함한다.
본 발명의 이들 및 다른 특징들이 첨부 도면들과 함께 본 발명의 상세한 설명에서 이하 더 자세히 설명될 것이다.
도면의 간단한 설명
본 발명은, 유사한 참조부호들은 유사한 엘리먼트들을 나타내는 첨부 도면들의 그림에서, 한정적인 방식이 아니라 예시적인 방식으로 도시되어 있다.
도 1 은 본 발명의 일 실시형태에 따른, 듀얼 한정 링 셋트를 갖는 차분 (differential) 플라즈마 프로세싱 챔버의 간략화된 도해를 나타낸다.
도 2 는 본 발명의 일 실시형태에 따른, ICP 코일 아래의 영역이 일 셋트의 하이드로카본으로 프리-코트된, 차분 플라즈마 프로세싱 챔버의 간략화된 도해를 나타낸다.
도 3 은 본 발명의 일 실시형태에 따른, 샤워헤드가 실리콘을 포함하는 재료로 프리-코트된, 도 2 의 차분 플라즈마 프로세싱 챔버의 간략화된 도해를 나타낸다.
도 4 는 본 발명의 일 실시형태에 따른, 기판이 에칭되고 있는, 도 3 의 차분 플라즈마 프로세싱 챔버의 간략화된 도해를 나타낸다.
도 5 는 본 발명의 일 실시형태에 따른, 챔버 벽을 포함하여, 플라즈마 프로세싱 챔버를 선택적으로 프리-코트하는 단계들의 간략화된 셋트를 나타낸다.
바람직한 실시형태들의 상세한 설명
이하, 본 발명은 첨부 도면들에서 나타낸 바와 같이 그 몇몇 바람직한 실시형태들을 참조하여 상세히 설명될 것이다. 이하의 설명에서, 수많은 구체적인 상세한 내용들은 본 발명의 완전한 이해를 제공하기 위해 전개된다. 하지만, 본 발명은 이들 구체적인 상세한 내용들의 일부 또는 전부가 없이도 실시될 수도 있다는 것은 당업자에게 있어 자명한 것이다. 다른 견지에서, 본 발명을 불필요하게 모호하게 하지 않기 위해 주지의 프로세스 단계들 및/또는 구조들은 설명되지 않았다.
이론에 얽매이지 않기를 희망하면서, 본원의 발명자는 플라즈마 표면 손상 및 플라즈마 챔버 내의 오염은, 최적화된 프리-코트 재료로 일 셋트의 표면들을 먼저 실질적으로 고립시키고, 그 다음, 선택적으로 프리-코트함으로써, 감소될 수도 있다는 것을 믿는다. 일반적으로, 최적의 프리-코트 재료에는, 플라즈마에 노출될 때 통상적으로 휘발되는 것들이 포함된다 (예를 들어, 실리콘, 비결정질 실리콘, 실리콘 질화물, 실리콘 이산화물, 실리콘 탄화물, 탄화수소 가스, C4F6, C4F8, CH3F 등).
일 실시형태에서, 프리-코트는 연속적인 기판들의 플라즈마 프로세싱 사이에 부가될 수도 있다. 일 실시형태에서, 에칭 영역 또는 구역에서의 사전 증착된 (pre-deposited) 레이어의 두께는 적어도 하나의 기판의 후속 에칭을 견디기에 충분한 두께이어야 한다. 일 실시형태에서, 각각의 영역 또는 구역에서의 사전 증착된 레이어의 두께는 기판 카셋트 (cassette) 를 프로세싱할 때와 같이, 수개의 기판들의 후속 에칭을 견디기에 충분한 두께이어야 한다. 일 실시형태에서, 다중 사전 증착된 레이어들이 각각의 영역 또는 구역에 증착된다. 일 실시형태에 서, 에칭 사이클의 완료 후에, 모든 프리-코트된 레이어들은, 프리-코트가 다시 시작될 수도 있도록 건식 세정 단계에서 제거될 수도 있다.
예를 들어, 용량성 커플링된 소스의 상부 접지 전극은, 플라즈마 프로세스로부터 밑의 접지 표면 (예를 들어, 단결정 실리콘 또는 알루미늄 등) 을 차폐 (shield) 하는 실리콘의 보호 레이어로 이미 구성되어 있을 수도 있다. 따라서, 기판을 에칭하기 전에, 실리콘 보호 레이어와 양립가능한 재료 (예를 들어, 실리콘, 비결정질 실리콘, 실리콘 탄화물, 실리콘 질화물 등) 로 접지 전극을 프리-코트하는 것은 오염을 실질적으로 감소시키고 또한 상부 접지 전극의 운용 수명을 연장하게 될 것이다.
또 다른 예에서, 유도성 커플링된 소스의 하부 접지 전극은, 플라즈마 프로세스로부터 밑의 접지 표면 (예를 들어, 알루미늄 등) 을 차폐하는 석영의 보호 커버로 이미 구성되어 있을 수도 있다. 따라서, 기판을 에칭하기 전에, 석영과 양립가능한 재료 (예를 들어, 탄화수소 등) 로 석영 커버를 프리-코트하는 것은 오염을 실질적으로 감소시키고 또한 석영 커버의 운용 수명을 연장하게 될 것이다.
일 실시형태에서, 플라즈마 챔버는 복수의 동심 한정 링 셋트들을 포함하고, 이 복수의 동심 한정 링 셋트들의 각각은 상이한 직경을 갖는다. 특정 한정 링 셋트를 상승 또는 하강시킴으로써, 적절한 프리-코트 플라즈마가 플라즈마 챔버의 특정 영역에 고립될 수도 있다.
일 실시형태에서, 기판을 에칭하는데 사용되는 플라즈마는 차분 (differential) 플라즈마이다. 즉, 복수의 에너지 소스들로 유지되는 플라즈마 이다. 예를 들어, 유전 에칭 시스템에서, 실질적인 방사상 플라즈마 균일성 및 방사상 에칭 균일성으로 기판을 에칭하기 위해, 주로 이온 에너지를 제어하는 용량성 커플링된 플라즈마 소스와, 주로 플라즈마 밀도를 제어하는 유도성 커플링된 플라즈마 소스가 조합될 수도 있다.
일반적으로, 용량성 커플링된 플라즈마 소스는 기판의 중심 위와 아래에 위치된 일 셋트의 전극판들 (예를 들어, 전원공급 전극, 접지 전극 등) 로 구성될 수도 있다. 통상적으로, 전원공급 전극은 또한 정전 척 (척) 으로서 구성되고, 이 척 위에는 플라즈마 프로세스 동안 기판이 놓여진다. 또한, 접지 전극은 통상적으로 샤워헤드로서 구성된다.
유도성 커플링된 플라즈마 소스는 일 셋트의 유도 코일들 및 기판 주계 (周界) 의 위와 아래에 위치된 접지 링으로 구성될 수도 있다. 일 실시형태에서, 내부 한정 링 셋트는 용량성 커플링된 플라즈마와 유도성 커플링된 플라즈마 소스 사이에 위치되며, 외부 한정 링은 유도성 커플링된 플라즈마 소스와 플라즈마 챔버 벽 사이에 위치될 수도 있다.
이제 도 1 을 참조하면, 본 발명의 일 실시형태에 따른, 듀얼 한정 링 셋트를 갖는 차분 플라즈마 프로세싱 챔버의 간략화된 도해가 나타내어져 있다. 일반적으로, 차분 플라즈마를 유지하기 위해, 용량성 커플링된 플라즈마 (capacitively coupled plasma; CCP) 소스는 이온 에너지를 제어하도록 구성되며, 유도성 커플링된 플라즈마 (inductively coupled plasma; ICP) 소스는 플라즈마 밀도를 제어하도록 구성된다.
통상적인 CCP 소스 구성은 보통 작은 거리만큼 이격된 2 개의 금속 전극들로 이루어지며, 전기 회로의 커패시터와 대체로 유사한 방식으로 동작한다. 제 1 전원공급 전극 (102) 은 통상적으로 척으로서 구성된다. 또한, 제 2 접지 전극 (108) 은 통상적으로 샤워헤드 어셈블리 (106) 의 일부로서 구성되며, 이 샤워헤드 어셈블리 (106) 는 통상적으로 플라즈마 가스가 가스 분배 시스템 (미도시) 으로부터 플라즈마 챔버로 통과하도록 허용하는 천공된 실리콘의 보호 레이어를 또한 포함한다. 또한, 기판 (104) 은 통상적으로 척/접지 전극 (102) 상에 에지 링 (120) 을 이용하여 위치된다.
마찬가지로, 통상적인 ICP 소스 구성은 보통 일 셋트의 유도 코일들 (112) 및 접지 링 (116) 으로 이루어진다. 일 셋트의 유도 코일들 (112) 은 통상적으로 무선 주파수 에너지를, 발생된 플라즈마 (미도시) 로부터 보호 차폐 (protective shield) 를 제공할 수도 있는 석영 윈도우 (113) 를 통해 플라즈마 가스로 방사하도록 구성된다. 마찬가지로, 접지 링 (116) 은 보호 석영 레이어로 또한 구성되고, 통상적으로 기판 (104) 의 주계 아래에 위치된다. 석영은 발생된 RF 파들에 대해 반드시 투과성이어야 한다. 또한, 통상적으로 매칭 네트워크 (미도시) 는 CCP 소스와 ICP 양자 모두에 커플링되며, 이 매칭 네트워크는 각각의 RF 발생기의 임피던스들을 플라즈마에 의해 일부분 형성되는 부하의 임피던스에 매칭시키고자 시도한다.
또한, 내부 한정 링 셋트 (118) 는 CCP 소스와 ICP 소스 사이에 위치될 수도 있으며, 또한, 플라즈마 챔버의 특정 영역들에 대해 플라즈마를 고립 및/또는 채널 링 (channel) 하기 위한 필요에 따라 상승 및 하강될 수도 있다. 예를 들어, 내부 한정 링 셋트 (118) 가 위쪽 위치에 위치되고, 또한, CCP 소스 및/또는 ICP 소스가 일 셋트의 플라즈마 가스들에 에너지를 가하고 있을 때, 결과적인 플라즈마는 외부 한정 링 셋트 (114) 방향으로 기판 (104) 을 가로질러 연장될 수도 있다.
반면, 내부 한정 링 셋트 (118) 가 아래쪽 위치 (미도시) 에 위치되고, CCP 소스가 일 셋트의 플라즈마 가스들에 에너지를 가하고 있을 때, 플라즈마는 내부 한정 링 셋트의 직경에 의해 정의된 영역에 한정될 수도 있다.
하지만, 내부 한정 링 세트 (118) 및 외부 한정 링 셋트 (114) 가 아래쪽 위치 (미도시) 에 위치되고, ICP 소스가 일 셋트의 플라즈마 가스들에 에너지를 가하고 있을 때, 플라즈마는 내부 한정 링 셋트 (118) 및 외부 한정 링 셋트 (114) 양자에 의해 정의되는 영역 (예를 들어, 토로이드 (toroid) 등) 으로 제한될 수도 있다.
다르게는, 내부 한정 링 세트 (118) 및 외부 한정 링 셋트 (114) 가 아래쪽 위치 (미도시) 에 위치되고, CCP 및 ICP 소스가 일 셋트의 플라즈마 가스들에 에너지를 가하고 있을 때, 제 1 플라즈마는 내부 한정 링 셋트의 직경에 의해 정의되는 영역에 제한될 수도 있고, 제 2 플라즈마는 내부 한정 링 셋트 (118) 및 외부 한정 링 셋트 (114) 양자에 의해 정의되는 영역으로 제한될 수도 있다.
통상적으로, 각각의 한정 링 셋트는 기판의 수평 주계 주위에 위치되고, 또한, 통상적으로 캠 링의 사용에 의해, 수직 축을 따른 기판 위의 변화하는 거리들에 위치되는, 일련의 석영 링들로서 구성된다. 일반적으로, 각각의 한정 링의 두께 및 임의의 2 개의 링들 사이의 갭은, 특정 플라즈마 프로세스를 최적화하고 플라즈마 내부의 압력을 제어하기 위해 구성된다. 몇몇 구성들에서, 한정 링들은 상이한 직경 및 두께의 것들이다. 예를 들어, 수직 축을 따라 기판에 더 근접하게 위치된 한정 링은 기판으로부터 더 멀리 떨어진 한정 링보다 직경이 더 작을 수도 있다.
이제 도 2 를 참조하면, 본 발명의 일 실시형태에 따른, ICP 코일 아래의 영역이 일 셋트의 탄화수소 또는 실리콘 이산화물 중 어느 하나로 프리-코트된, 도 1 의 차분 플라즈마 프로세싱 챔버의 간략화된 도해가 나타내어져 있다. 전술한 바와 같이, 일 셋트의 유도 코일들 (112) 및 접지 링 (116) 은 통상적으로 일 셋트의 석영 표면들에 의해 플라즈마로부터 차폐된다. 유리한 방식으로, 기판을 프로세싱하기에 앞서, 이들 석영 표면들의 실질적인 부분이, 탄화수소 레이어로, 또는 실리콘 이산화물 레이어로 프리-코트되어, 그 밑의 석영 표면이 아니라 탄화수소 레이어 또는 사전 증착된 실리콘 이산화물 레이어가 플라즈마 프로세싱 동안 에칭될 수도 있도록 한다. 일 실시형태에서, 탄화수소 프리-코트 또는 실리콘 이산화물 레이어의 두께는 약 1.5㎛ 이다.
탄화수소는 일반적으로 CxHyFz 에 의해 화학적으로 기술될 수도 있는 테플론류 재료이며, 여기서, x 는 0 보다 큰 정수이고, y 및 z 은 0 이상의 정수이다. (예를 들어, C4F6, C4F8, CH3F 등). 석영은 실리콘 산화물이고, 화학적으로 SiO2 로서 기술된다. 테플론류 또는 실리콘 이산화물 프리-코트는 반데르발스 힘들 (Van-der Waals forces) 을 통해 석영 표면에 물리적으로 본딩되며, 코트와 석영 사이에는 일반적으로 아무런 화학적 반응도 일어날 필요가 없다.
석영 표면들을 프리-코트하는 일 방법에서, 내부 한정 링 셋트 (118) 및 외부 한정 링 셋트 (114) 양자가 하강된다. 그 다음, 탄화수소 가스가 샤워헤드 (106) 를 통해 차분 플라즈마 챔버 내로 공급되며, 그 결과, 내부 한정 링 셋트 (118) 와 외부 한정 링 셋트 (114) 에 의해 정의된 채널로 새어나온다. 일 실시형태에서, 탄화수소는 불화 탄화수소 가스이다. 그 다음, 일 셋트의 유도 코일들 (112) 이 에너지를 가하여, 탄화수소 프리-코트가 석영 표면들 상에 증착되도록 할 수도 있다. 통상적으로, 커버 또는 더미 (dummy) 기판 (124) 이 프리-코트 프로세스 동안 전원공급 전극 (102) 을 차폐하기 위해 전원공급 전극 (102) 상에 놓인다.
이제 도 3 을 참조하면, 본 발명의 일 실시형태에 따른, 샤워헤드가 실리콘을 포함하는 재료로 프리-코트된, 도 2 의 차분 플라즈마 프로세싱 챔버의 간략화된 도해가 나타내어져 있다. 전술한 바와 같이, 샤워헤드 어셈블리 (106) 는, 플라즈마 가스들이 가스 분배 시스템 (미도시) 으로부터 플라즈마 챔버내로 통과하도록 허용하는 천공된 실리콘의 보호 레이어로 접지 전극 (108) 을 차폐한다. 일 실시형태에서, 실리콘 프리-코트 레이어의 두께는 약 4.0㎛ 이다.
유리한 방식으로, 기판을 프로세싱하기 전에, 샤워헤드의 실질적인 부분이 실리콘을 포함하는 재료 (예를 들어, 실리콘, 실리콘 질화물, 실리콘 탄화물 등) 로 프리-코트된다. 일 실시형태에서, 실리콘을 포함하는 재료는 비결정질 실리 콘이다. 일반적으로, 비결정질 실리콘은 전기적 방전을 이용하여 실란 (silane) 가스로부터 증착될 수도 있다. 일반적으로, 증착된 비결정질 실리콘은, 샤워헤드의 밑에 있는 단결정 실리콘에 잘 들러 붙는데, 그 이유는, 각 레이어의 원자들 사이의 상당히 밀접한 격자 정합성 때문이다.
샤워헤드를 프리-코트하는 일 방법에서, 내부 한정 링 셋트 (118) 와 외부 한정 링 셋트 (114) 양자 모두가 하강된다. 그 다음, 실리콘 함유 가스가 샤워헤드 (106) 를 통해 플라즈마 챔버 내로 향하여 차분 플라즈마 챔버 내로 공급된다. 그 다음, 전원공급 전극 (102) 이 에너지를 가하여, 실리콘 프리-코트가 샤워헤드 상에 증착되도록 할 수도 있다. 통상적으로, 프리-코트 프로세스 동안 전원공급 전극 (102) 을 차폐하기 위해, 커버 또는 더미 기판 (124) 이 전원공급 전극 (102) 상에 놓인다.
이제 도 4 를 참조하면, 본 발명의 일 실시형태에 따른, 기판이 에칭되는, 도 3 의 차분 플라즈마 프로세싱 챔버의 간략화된 도해가 나타내어져 있다. 예를 들어, Lam Research 2300 Exelan Flex 플라즈마 프로세싱 시스템에서, 통상적인 에칭법은, 2000W(27MHz)/3000W(2MHz), 300sccm Ar, 20sccm C4F8, 20sccm O2, 45mTorr 일 수도 있다. 전술한 바와 같이, 샤워헤드 (106), 석영 윈도우 (113), 및 접지 링 (116) 의 실질적인 부분이, 에칭 프로세스 동안 이들 표면들이 보호되도록 프리-코트된다. 일반적으로, 내부 한정 링 셋트 (118) 는 차분 플라즈마 (124) 가 기판 (104) 을 가로질로 외부 한정 링 (114) 을 향하여 연장되는 것을 허용하기 위해 상승된 위치에 있을 수도 있다. 예를 들어, 기판은, 유전체 레이어들이 비아 홀을 채우는 도전성 플러그에 의해 전기적으로 접속되는, 듀얼 다마신 (dual damascene) 프로세스의 일부로서 에칭될 수도 있다.
이제 도 5 를 참조하면, 본 발명의 일 실시형태에 따른, 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트하는 단계들의 간략화된 셋트가 나타내어져 있다. 처음에, 단계 502 에서, 제 1 셋트의 RF 전극들이 제 1 프리-코트 플라즈마를 스트라이크하도록 구성되며, 이 제 1 셋트의 RF 전극들은 제 1 플라즈마 챔버 구역을 정의한다. 그 다음, 단계 504 에서, 제 1 셋트의 한정 링들이 제 1 셋트의 RF 전극들 주위에 구성된다. 그 다음, 단계 506 에서, 제 2 셋트의 한정 링들이 제 1 셋트의 한정 링들과 챔버 벽 사이에 구성된다.
일 실시형태에서, 제 2 셋트의 RF 전극들이 또한 제 1 셋트의 한정 링들과 제 2 셋트의 한정 링들 사이에 구성된다. 일 실시형태에서, 제 2 셋트의 RF 전극들이 제 2 프리-코트 플라즈마를 스트라이크하도록 구성되며, 이 제 2 셋트의 RF 전극들은 제 2 플라즈마 챔버 구역을 정의한다.
마지막으로 단계 508 에서, 가스 전달 시스템은, 제 1 프리-코트 가스가 전달되고 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 제 1 프리-코트 레이어를 제 1 플라즈마 구역에 도포하도록 구성된다. 예를 들어, 제 1 프리-코트 가스는, 실리콘, 비결정질 실리콘, 실리콘 질화물, 및 실리콘 탄화물 중 하나를 포함할 수도 있다. 일 실시형태에서, 가스 전달 시스템은, 제 2 프리-코트 가스가 전달되고 제 2 셋트의 RF 전극들에 에너지가 가해질 때, 제 2 프리-코트 레이어를 제 2 플라즈마 구역에 도포하도록 구성된다. 예를 들어, 제 2 프리-코트 가스는 탄화수소 가스를 포함할 수도 있다.
일 실시형태에서, 제 1 셋트의 RF 전극들은 용량성으로 커플링되고, 제 2 셋트의 RF 전극들은 유도성으로 커플링된다. 일 실시형태에서, 제 1 셋트의 RF 전극들은 유도성으로 커플링되고, 제 2 셋트의 RF 전극들은 용량성으로 커플링된다. 일 실시형태에서, 제 1 셋트의 RF 전극들과 제 2 셋트의 RF 전극들 양자 모두는 용량성으로 커플링된다. 일 실시형태에서, 제 1 셋트의 RF 전극들과 제 2 셋트의 RF 전극들 양자 모두는 유도성으로 커플링된다. 일 실시형태에서, 제 1 셋트의 한정 링들 및 제 2 셋트의 한정 링들 중 적어도 하나는 이동가능하도록 구성된다.
본 발명을 몇가지 바람직한 실시형태들의 면에서 설명하였지만, 본 발명의 범위 내에 속하는 많은 변경들, 치환들, 및 균등물들이 존재한다. 예를 들어, 본 발명을 Lam Research Corp 제조의 플라즈마 프로세싱 시스템 (예를 들어, ExelanTM, ExelanTM HP, ExelanTM HPT, 2300 Exelan FlexTM, VersysTM Star 등) 과 관련하여 설명하였지만, 다른 플라즈마 프로세싱 시스템들이 이용될 수도 있다. 본 발명은 또한 다양한 직경들의 기판들 (예를 들어, 200mm, 300mm 등) 과 함께 이용될 수도 있다. 또한, 산소 이외의 가스들을 포함하는 포토레지스트 플라즈마 에천트들이 이용될 수도 있다. 또한, 본 발명의 방법들을 구현하는 많은 다른 방식들이 존재함에 유의할 필요가 있다.
본 발명의 이점들에는, 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법들 및 장치가 포함된다. 추가적인 이점들로는, 플라즈마 챔버 내의 표면 보호, 오염 최소화, 제조 프로세스의 간단화, 기판 수율의 향상 등이 포함된다.
예시적인 실시형태들 및 최선의 방식을 개시하였지만, 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 주제 및 사상 내에 유지되면서, 개시된 실시형태들에 대한 수정들 및 변화들이 이루어질 수도 있다.

Claims (30)

  1. 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트 (pre-coat) 하는 장치로서,
    제 1 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 1 플라즈마 챔버 구역을 정의하는 제 1 셋트의 RF 전극들;
    상기 제 1 셋트의 RF 전극들 주위에 배치된 제 1 셋트의 한정 링들;
    상기 제 1 셋트의 한정 링들과 상기 챔버 벽 사이에 배치된 제 2 셋트의 한정 링들; 및
    제 1 프리-코트 가스가 전달되고 상기 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 상기 제 1 플라즈마 챔버 구역에 제 1 프리-코트 레이어를 도포하도록 구성된 가스 전달 시스템을 포함하는, 선택적 프리-코트 장치.
  2. 제 1 항에 있어서,
    상기 제 1 셋트의 한정 링들과 상기 제 2 셋트의 한정 링들 사이에 배치되어, 제 2 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 2 플라즈마 챔버 구역을 정의하는 제 2 셋트의 RF 전극들을 더 포함하며,
    상기 가스 전달 시스템은 또한, 제 2 프리-코트 가스가 전달되고 상기 제 2 셋트의 RF 전극들에 에너지가 가해질 때, 상기 제 2 플라즈마 챔버 구역에 제 2 프리-코트 레이어를 도포하도록 구성된, 선택적 프리-코트 장치.
  3. 제 2 항에 있어서,
    상기 제 1 셋트의 RF 전극들은, 일 셋트의 용량성 커플링된 RF 전극들 및 일 셋트의 유도성 커플링된 RF 전극들 중 일방인, 선택적 프리-코트 장치.
  4. 제 3 항에 있어서,
    상기 용량성 커플링된 제 1 셋트의 RF 전극들은, 전원공급 전극 및 접지 전극을 포함하는, 선택적 프리-코트 장치.
  5. 제 4 항에 있어서,
    상기 용량성 커플링된 제 1 셋트의 RF 전극들의 접지 전극은 실리콘을 포함하는 재료로 이루어진, 선택적 프리-코트 장치.
  6. 제 5 항에 있어서,
    상기 유도성 커플링된 제 1 셋트의 RF 전극들은, 일 셋트의 석영 배리어 (barrier) 들에 의해 상기 제 2 프리-코트 가스로부터 차폐되는, 선택적 프리-코트 장치.
  7. 제 6 항에 있어서,
    상기 유도성 커플링된 제 1 셋트의 RF 전극들은 유도 코일 및 접지 전극을 포함하는, 선택적 프리-코트 장치.
  8. 제 7 항에 있어서,
    상기 제 2 셋트의 RF 전극들은, 일 셋트의 용량성 커플링된 RF 전극들 및 일 셋트의 유도성 커플링된 RF 전극들 중 일방인, 선택적 프리-코트 장치.
  9. 제 8 항에 있어서,
    상기 용량성 커플링된 제 2 셋트의 RF 전극들은, 전원공급 전극 및 접지 전극을 포함하는, 선택적 프리-코트 장치.
  10. 제 9 항에 있어서,
    상기 용량성 커플링된 제 2 셋트의 RF 전극들의 접지 전극은 실리콘을 포함하는 재료로 이루어진, 선택적 프리-코트 장치.
  11. 제 10 항에 있어서,
    상기 유도성 커플링된 제 2 셋트의 RF 전극들은, 일 셋트의 석영 배리어들에 의해 상기 제 2 프리-코트 가스로부터 차폐되는, 선택적 프리-코트 장치.
  12. 제 11 항에 있어서,
    상기 유도성 커플링된 제 2 셋트의 RF 전극들은 유도 코일 및 접지 전극을 포함하는, 선택적 프리-코트 장치.
  13. 제 2 항에 있어서,
    상기 제 1 셋트의 한정 링들 및 상기 제 2 셋트의 한정 링들 중 적어도 일방은 이동가능하도록 구성된, 선택적 프리-코트 장치.
  14. 제 13 항에 있어서,
    상기 제 1 셋트의 한정 링들 및 상기 제 2 셋트의 한정 링들 중 적어도 일방은 석영을 포함하는, 선택적 프리-코트 장치.
  15. 제 14 항에 있어서,
    상기 제 1 프리-코트 가스는, 실리콘, 비결정질 실리콘, 실리콘 질화물, 실리콘 탄화물, 및 SiO2 중 하나를 형성하는, 선택적 프리-코트 장치.
  16. 제 15 항에 있어서,
    상기 제 2 프리-코트 가스는, 불화 탄화수소 가스, C4F6, C4F8, CH3F, SiH4, 및 O2 중 적어도 하나를 포함하는, 선택적 프리-코트 장치.
  17. 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트 (pre- coat) 하는 장치로서,
    제 1 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 1 플라즈마 챔버 구역을 정의하는 제 1 셋트의 RF 전극들;
    제 2 프리-코트 플라즈마를 스트라이크하도록 구성되고, 제 2 플라즈마 챔버 구역을 정의하는 제 2 셋트의 RF 전극들;
    상기 제 1 셋트의 RF 전극들과 상기 제 2 셋트의 RF 전극들 사이에 배치된 제 1 셋트의 한정 링들;
    상기 제 2 셋트의 RF 전극들과 상기 챔버 벽 사이에 배치된 제 2 셋트의 한정 링들; 및
    제 1 프리-코트 가스가 전달되고 상기 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 상기 제 1 플라즈마 챔버 구역을 프리-코트하도록 구성되고, 제 2 프리-코트 가스가 전달되고 상기 제 2 셋트의 RF 전극들에 에너지가 가해질 때, 상기 제 2 플라즈마 챔버 구역을 프리-코트하도록 또한 구성된 가스 전달 시스템을 포함하는, 선택적 프리-코트 장치.
  18. 챔버 벽을 포함하여 플라즈마 프로세싱 챔버를 선택적으로 프리-코트 (pre-coat) 하는 방법으로서,
    제 1 플라즈마 챔버 구역을 정의하는 제 1 셋트의 RF 전극들이 제 1 프리-코트 플라즈마를 스트라이크하도록 구성하는 단계;
    제 2 플라즈마 챔버 구역을 정의하는 제 2 셋트의 RF 전극들이 제 2 프리-코 트 플라즈마를 스트라이크하도록 구성하는 단계;
    상기 제 1 셋트의 RF 전극들과 상기 제 2 셋트의 RF 전극들 사이에 제 1 셋트의 한정 링들을 구성하는 단계;
    상기 제 2 셋트의 RF 전극들과 상기 챔버 벽 사이에 제 2 셋트의 한정 링들을 구성하는 단계;
    제 1 프리-코트 가스가 전달되고 상기 제 1 셋트의 RF 전극들에 에너지가 가해질 때, 상기 제 1 플라즈마 챔버 구역을 프리-코트하도록 가스 전달 시스템을 구성하는 단계; 및
    제 2 프리-코트 가스가 전달되고 상기 제 2 셋트의 RF 전극들에 에너지가 가해질 때, 상기 제 2 플라즈마 챔버 구역을 프리-코트하도록 상기 가스 전달 시스템을 구성하는 단계를 포함하는, 선택적 프리-코트 방법.
  19. 제 18 항에 있어서,
    상기 제 1 셋트의 RF 전극들은, 일 셋트의 용량성 커플링된 RF 전극들 및 일 셋트의 유도성 커플링된 RF 전극들 중 일방인, 선택적 프리-코트 방법.
  20. 제 19 항에 있어서,
    상기 용량성 커플링된 제 1 셋트의 RF 전극들은, 전원공급 전극 및 접지 전극을 포함하는, 선택적 프리-코트 방법.
  21. 제 20 항에 있어서,
    상기 용량성 커플링된 제 1 셋트의 RF 전극들의 접지 전극은 실리콘을 포함하는 재료로 이루어진, 선택적 프리-코트 방법.
  22. 제 21 항에 있어서,
    상기 유도성 커플링된 제 1 셋트의 RF 전극들은, 일 셋트의 석영 배리어 (barrier) 들에 의해 상기 제 2 프리-코트 가스로부터 차폐되는, 선택적 프리-코트 방법.
  23. 제 22 항에 있어서,
    상기 유도성 커플링된 제 1 셋트의 RF 전극들은, 유도 코일 및 접지 전극을 포함하는, 선택적 프리-코트 방법.
  24. 제 23 항에 있어서,
    상기 제 2 셋트의 RF 전극들은, 일 셋트의 용량성 커플링된 RF 전극들 및 일 셋트의 유도성 커플링된 RF 전극들 중 일방인, 선택적 프리-코트 방법.
  25. 제 24 항에 있어서,
    상기 용량성 커플링된 제 2 셋트의 RF 전극들은, 전원공급 전극 및 접지 전극을 포함하는, 선택적 프리-코트 방법.
  26. 제 25 항에 있어서,
    상기 용량성 커플링된 제 2 셋트의 RF 전극들의 접지 전극은 실리콘을 포함하는 재료로 이루어진, 선택적 프리-코트 방법.
  27. 제 26 항에 있어서,
    상기 유도성 커플링된 제 2 셋트의 RF 전극들은, 일 셋트의 석영 배리어들에 의해 상기 제 2 프리-코트 가스로부터 차폐되는, 선택적 프리-코트 방법.
  28. 제 27 항에 있어서,
    상기 유도성 커플링된 제 2 셋트의 RF 전극들은, 유도 코일 및 접지 전극을 포함하는, 선택적 프리-코트 방법.
  29. 제 18 항에 있어서,
    상기 제 1 프리-코트 가스는, 실리콘, 비결정질 실리콘, 실리콘 질화물, 실리콘 탄화물, 및 SiO2 중 하나를 형성하는, 선택적 프리-코트 방법.
  30. 제 18 항에 있어서,
    상기 제 2 프리-코트 가스는, 불화 탄화수소 가스, C4F6, C4F8, CH3F, SiH4, 및 O2 중 적어도 하나를 포함하는, 선택적 프리-코트 방법.
KR1020087022151A 2006-03-03 2007-03-01 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치 KR101336479B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/367,290 2006-03-03
US11/367,290 US7578258B2 (en) 2006-03-03 2006-03-03 Methods and apparatus for selective pre-coating of a plasma processing chamber
PCT/US2007/063102 WO2007120994A2 (en) 2006-03-03 2007-03-01 Methods and apparatus for selective pre-coating of a plasma processing chamber

Publications (2)

Publication Number Publication Date
KR20080106427A true KR20080106427A (ko) 2008-12-05
KR101336479B1 KR101336479B1 (ko) 2013-12-03

Family

ID=38470383

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087022151A KR101336479B1 (ko) 2006-03-03 2007-03-01 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치

Country Status (6)

Country Link
US (2) US7578258B2 (ko)
JP (1) JP5028430B2 (ko)
KR (1) KR101336479B1 (ko)
CN (1) CN101395702B (ko)
TW (1) TWI480948B (ko)
WO (1) WO2007120994A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101439717B1 (ko) * 2010-01-22 2014-09-12 도쿄엘렉트론가부시키가이샤 에칭 방법, 에칭 장치 및 링 부재
KR20190052154A (ko) * 2016-10-06 2019-05-15 램 리써치 코포레이션 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US9543123B2 (en) * 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US9177756B2 (en) * 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9287147B2 (en) * 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6298391B2 (ja) * 2014-10-07 2018-03-20 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
KR101817217B1 (ko) * 2015-11-17 2018-01-12 세메스 주식회사 척핀, 척핀 제조 방법 및 기판 처리 장치
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP6779165B2 (ja) 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
JP6910319B2 (ja) * 2018-04-23 2021-07-28 東京エレクトロン株式会社 有機領域をエッチングする方法
JP6920244B2 (ja) * 2018-04-23 2021-08-18 東京エレクトロン株式会社 プラズマ処理方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111586957B (zh) * 2019-02-19 2021-05-04 大连理工大学 一种容性耦合等离子体放电装置
JP7190938B2 (ja) * 2019-02-27 2022-12-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002110565A (ja) 2000-10-02 2002-04-12 Sony Corp プラズマ処理装置及び処理方法、並びに半導体装置の製造方法
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6776851B1 (en) * 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
CA2396657C (en) * 2001-08-16 2007-11-06 The Minster Machine Company Adjustable stroke mechanism
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7122125B2 (en) * 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7426900B2 (en) * 2003-11-19 2008-09-23 Tokyo Electron Limited Integrated electrostatic inductive coupling for plasma processing
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101439717B1 (ko) * 2010-01-22 2014-09-12 도쿄엘렉트론가부시키가이샤 에칭 방법, 에칭 장치 및 링 부재
US8945413B2 (en) 2010-01-22 2015-02-03 Tokyo Electron Limited Etching method, etching apparatus, and ring member
US9441292B2 (en) 2010-01-22 2016-09-13 Tokyo Electron Limited Etching method, etching apparatus, and ring member
KR20190052154A (ko) * 2016-10-06 2019-05-15 램 리써치 코포레이션 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법

Also Published As

Publication number Publication date
JP2009529225A (ja) 2009-08-13
US20090272718A1 (en) 2009-11-05
WO2007120994B1 (en) 2008-11-20
US8298626B2 (en) 2012-10-30
WO2007120994A2 (en) 2007-10-25
US7578258B2 (en) 2009-08-25
KR101336479B1 (ko) 2013-12-03
JP5028430B2 (ja) 2012-09-19
US20070204797A1 (en) 2007-09-06
WO2007120994A3 (en) 2008-10-02
TW200739722A (en) 2007-10-16
CN101395702B (zh) 2010-12-22
CN101395702A (zh) 2009-03-25
TWI480948B (zh) 2015-04-11

Similar Documents

Publication Publication Date Title
KR101336479B1 (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
KR101455954B1 (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
US9184043B2 (en) Edge electrodes with dielectric covers
KR101155837B1 (ko) 기판 프로세싱용 에지 링 배열
US7572737B1 (en) Apparatus and methods for adjusting an edge ring potential substrate processing
US20140034243A1 (en) Apparatus for plasma processing system with tunable capacitance
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
KR20070046166A (ko) 플라즈마 챔버 내부에서의 사용을 위한 이트리아 절연체 링

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161110

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171110

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191113

Year of fee payment: 7