KR101455954B1 - 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기 - Google Patents

다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기 Download PDF

Info

Publication number
KR101455954B1
KR101455954B1 KR1020137025015A KR20137025015A KR101455954B1 KR 101455954 B1 KR101455954 B1 KR 101455954B1 KR 1020137025015 A KR1020137025015 A KR 1020137025015A KR 20137025015 A KR20137025015 A KR 20137025015A KR 101455954 B1 KR101455954 B1 KR 101455954B1
Authority
KR
South Korea
Prior art keywords
lower electrode
power
plasma
electrode
radio frequency
Prior art date
Application number
KR1020137025015A
Other languages
English (en)
Other versions
KR20130124394A (ko
Inventor
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130124394A publication Critical patent/KR20130124394A/ko
Application granted granted Critical
Publication of KR101455954B1 publication Critical patent/KR101455954B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F5/00Electrolytic stripping of metallic layers or coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B6/00Cleaning by electrostatic means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F1/00Electrolytic cleaning, degreasing, pickling or descaling
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Abstract

일반적으로, 본 발명의 실시형태는 개선된 챔버 세정 메커니즘, 장치 및 방법을 제공한다. 또한, 본 발명은 에칭 프로세스를 튜닝하는 추가 노브를 제공하는데 이용될 수 있다. 일 실시형태에서, 플라즈마를 생성하도록 구성된 플라즈마 처리 챔버는 내부의 하부 전극 및 내부의 하부 전극 바깥 쪽에 배치된 외부의 하부 전극을 갖는 하부 전극 어셈블리를 포함하고, 내부의 하부 전극은 기판을 수용하도록 구성된다. 또한, 플라즈마 처리 챔버는 상부 전극을 갖는 상부 전극 어셈블리를 포함하고, 상부 전극은 내부의 하부 전극 및 외부의 하부 전극 바로 위에 배치된다.

Description

다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기{PLASMA PROCESSING REACTOR WITH MULTIPLE CAPACITIVE AND INDUCTIVE POWER SOURCES}
반도체 기반 디바이스 (예를 들어, 집적 회로 또는 플랫 패널 디스플레이) 의 제조에 있어서, 재료의 층은 기판 표면 (예를 들어, 반도체 웨이퍼 또는 유리 패널) 상에 교대로 퇴적될 수도 있고, 기판 표면으로부터 에칭될 수도 있다. 당해 기술분야에서 널리 공지되어 있는 바와 같이, 퇴적된 층(들)의 에칭은 플라즈마 강화 에칭 (plasma-enhanced etching) 을 비롯한 다양한 기술에 의해 달성될 수도 있다. 플라즈마 강화 에칭에 있어서, 기판의 실제 에칭은 플라즈마 처리 챔버 내부에서 일어난다. 에칭 프로세스 동안에, 적당한 에천트 소스 가스로부터 플라즈마가 형성되어, 에칭 마스크에 의해 보호되지 않는 가공물의 영역을 에칭함으로써, 원하는 패턴을 남기게 된다.
기판의 플라즈마 에칭 동안에, 에칭 화학물질에 의해 에칭된 재료 층 또는 포토레지스트에 의해 형성된 폴리머로 이루어진 에칭 부산물은 주로 주변 챔버 하드웨어 상에 퇴적된다. 퇴적된 부산물은 후속하는 기판 에칭이 진행됨에 따라 축적된다. 기판 제조의 디바이스 수율을 향상시키기 위해서는, 재생 가능한 챔버를 유지하는 것이 중요하고, 이는 하나 이상의 기판이 에칭된 후에 주기적으로 챔버 세정 프로세스를 수행함으로써 달성될 수 있다. 통상, 챔버 세정은 모든 기판을 에칭한 후에 수행된다.
반도체 기판으로부터 유전체 재료를 에칭하는데 용량 결합된 진공 플라즈마 시스템이 종종 이용된다. 용량 시스템은 낮은 플라즈마 손상을 일으키며 하층 및 포토레지스트 층에 대해 높은 선택도를 갖는 이점을 갖는다. 그러나, 용량 결합된 플라즈마를 이용하여 기판 에칭 후에 챔버를 세정하는 경우에는, 비교적 높은 이온 에너지를 갖는 용량 결합된 플라즈마가 노출된 정전 척에 충격을 가할 것이다. 정전 척은 기판 에칭 동안에 기판을 지지하는데 이용되므로, 노출된 정전 척에 충격을 가함으로써, 정전 척 수명의 열화가 일어나고, 입자 생성이 일어나게 된다. 또한, 챔버 내의 상부 및 하부 전극에 의해 생성된 용량 결합된 플라즈마는 정전 척 위에 있는 중심 영역에 주로 집중되므로, 주변 챔버 하드웨어를 세정하는데 있어서 효과적이지 않다. 주변 챔버 하드웨어를 완전히 세정하기 위해서는, 연장된 세정 시간이 필요하고, 이로 인해, 제조 스루풋에 영향을 주게 된다. 현재의 용량 결합된 플라즈마 반응기에 있어서, 각각의 기판을 처리 (또는 에칭) 한 후의 챔버 세정은 통상 상술한 제약 내에서 최적화된다.
상기 내용을 고려하면, 개선된 챔버 세정 메커니즘을 제공하여 정전 척 수명을 증가시키고, 기판 수율을 향상시키며, 제조 스루풋을 증가시키는 방법 및 장치에 대한 요구가 존재한다.
일반적으로, 본 발명의 실시형태는 개선된 챔버 세정 메커니즘을 제공함으로써 이러한 요구들을 만족시킨다. 또한, 본 발명의 실시형태는 에칭 프로세스를 튜닝하는 추가 노브 (knob) 를 제공한다. 본 발명은 프로세스, 장치, 또는 시스템을 비롯한 다양한 방법으로 구현될 수 있다는 것을 이해해야 한다. 본 발명의 몇몇 독창적인 실시형태가 아래에 설명된다.
일 실시형태에서, 플라즈마 처리 챔버가 개시된다. 챔버는 하부 전극 어셈블리를 포함한다. 하부 전극 어셈블리는 기판을 지지하고 기판 에칭을 위한 중앙 영역을 정의하기 위한 내부의 하부 전극을 포함한다. 또한, 하부 전극 어셈블리 내에 내부의 하부 전극 외부에 배치된 외부의 하부 전극이 포함된다. 외부의 하부 전극은 챔버 세정을 위한 영역을 정의하고, 외부의 하부 전극은 전도성 링 아래에 위치된 유도 코일을 포함한다. 나아가, 내부의 하부 전극 및 외부의 하부 전극 사이에 배치된 유전 물질을 포함하고, 유전 물질은 내부의 하부 전극을 외부의 하부 전극으로부터 분리시킨다. 내부의 하부 전극 또는 외부의 하부 전극에 무선 주파수 (RF) 전력을 연결시키는 스위치가 제공된다. 챔버는 또한 상부 전극을 갖는 상부 전극 어셈블리를 포함한다. 상부 전극은 내부의 하부 전극과 외부의 하부 전극 위에 배치된다.
또 다른 실시형태에서, 플라즈마 처리 챔버가 개시된다. 챔버는 하부 전극 어셈블리로서, (i) 기판을 지지하고 기판 에칭을 위한 중앙 영역을 정의하기 위한 내부의 하부 전극으로서, 내부의 하부 전극은 제1 RF 전력으로의 연결을 갖는, 내부의 하부 전극, (ii) 내부의 하부 전극 외부에 배치된 외부의 하부 전극으로서, 외부의 하부 전극은 챔버 세정을 위한 영역을 정의하고, 외부의 하부 전극은 접지에 연결된 전도성 링 아래에 위치하는, 외부의 하부 전극; 및 (iii) 내부의 하부 전극 및 외부의 하부 전극 사이에 배치된 유전 물질로서, 유전 물질은 내부의 하부 전극을 외부의 하부 전극으로부터 분리시키는, 유전 물질에 의해 정의된 하부 전극 어셈블리를 포함한다. 챔버는 또한 내부의 하부 전극 위에 배치된 제1 상부 전극 및 제1 상부 전극을 둘러싸는 제2 상부 전극을 가지며, 하부 전극 어셈블리의 외부의 하부 전극 위에 배치되는 상부 전극 어셈블리로서, 제2 상부 전극은, (i) 유전 물질에 매립된 유도 코일, (ii) 유전 물질 아래에 위치된 패러데이 차폐 (Faraday shield), 및 (iii) 패러데이 차폐 아래에 위치된 유전체 링으로서, 유전체 링은 챔버 세정을 위한 영역에 대향하도록 구성된 상기 유전체 링에 의해 정의된다.
본 발명의 다른 양태 및 이점은, 본 발명의 원리를 일 예로서 도시한 첨부된 도면을 참조하여 다음 상세한 설명으로부터 명확히 알 수 있다.
본 발명은, 동일 참조 부호가 동일 구성 요소를 지시하는 첨부된 도면을 참조하여 다음 상세한 설명으로부터 쉽게 이해할 수 있다.
도 1a 는 기판 에칭 시스템의 일 실시형태의 개략도를 도시한다.
도 1b 는 플라즈마 시스템에서 세정 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 1c 는 플라즈마 시스템에서 에칭 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 2 는 기판 에칭 시스템의 다른 실시형태의 개략도를 도시한다.
도 3a 는 기판 에칭 시스템의 다른 실시형태의 개략도를 도시한다.
도 3b 는 플라즈마 시스템에서 세정 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 3c 는 플라즈마 시스템에서 에칭 플라즈마를 생성하는 프로세스 흐름을 도시한다.
도 4 는 기판 에칭 시스템의 또 다른 실시형태의 개략도를 도시한다.
본 출원은 35 U.S.C. 120에 따라 2006년 2월 15일자로 출원되고, "다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기"로 명명된, 미국 특허 출원 번호 11/335,458 를 갖고, 분할 출원으로 우선권을 주장하는, 35 U.S.C. 120에 따, 미국 특허 출원 번호 13/191,402에 대한 우선권을 주장하는 계속 출원이다. 이 출원의 개시는 모든 목적을 위해 본 명세서에서 참조로써 모두 포함된다.
이하, 개선되고 더 효과적이며 더 유연한 챔버 세정 및 에칭 시스템에 대한 몇몇 예시적인 실시형태에 따른, 방법 및 장치를 설명할 것이다. 당업자라면, 본원에 개시된 특정 상세의 일부 또는 전부 없이도 본 발명을 실시할 수도 있다는 것을 알 수 있다.
상술한 바와 같이, 각각의 기판을 에칭한 후에 재생 가능한 챔버 벽 표면 상태는 수율을 향상시킬 것이다. 효과적인 제자리 (in-situ) 챔버 세정은 차세대 플라즈마 에칭 반응기의 주요 특징이 되었다. 본 발명의 일 실시형태는 기판 지지체를 둘러싸는 제 2 플라즈마 소스를 제공한다. 처리 챔버의 주변 근방에 위치하는 제 2 플라즈마 소스는 기판 에칭 후의 챔버 세정 동작 동안에 개시되어, 기판 에칭 동안에 에칭 부산물을 축적한 주변 챔버 하드웨어를 세정할 수 있다. 도 1a 는 기판 지지체를 둘러싸는 제 2 플라즈마 소스를 갖는 플라즈마 처리 장치 (100) 의 단면도를 도시한다. 본 실시형태는, 전도성 재료로 이루어지며, RF (radio frequency) 정합 (138) 및 RF 전원 (139) 에 동작 가능하게 연결된 하부 전극 (131) 을 포함한다. 또한, 하부 전극 (131) 은 기판 지지체가 되고, 하부 전극 어셈블리 (130) 의 일부가 된다. 일 실시형태에서, RF 전원 (139) 은 다중 주파수 전원이다. 예를 들어, 그 전원은 약 400 kHz 내지 약 60 MHz 범위의 주파수 혼합을 가질 수 있다. RF 전력이 하부 전극 (131) 으로부터 처리 챔버 내의 가스에 전달될 때에, 플라즈마가 생성된다. 일 실시형태에서, RF 전원은 약 1 W 내지 약 10 W 까지의 RF 전력 레벨을 전달한다.
일 실시형태에서, 하부 전극 어셈블리 (130) 는 약 -20 ℃ 내지 약 70℃ 의 온도 범위로 기판을 냉각시킬 수 있는 내장형 냉각 메커니즘 (도시생략) 을 갖는다. 기판 처리 동안에 플라즈마를 위한 추가 접지 경로를 제공하는 전도성 링 (133) 이 기판 지지체를 둘러싸고 있다. 하부 전극 (131) 과 전도성 링 (133) 사이에는, 기판 지지체와 전도성 링 (133) 을 절연하는 유전체 링 (132) 이 있다.
일 예로서, 하부 전극 (131) 은 300 mm 기판을 수용하도록 적응되는 영역을 갖지만, 이에 한정되는 것은 아니다. 일 실시형태에서, 전원 공급된 하부 전극 (131) 은 기판 (도시생략) 을 수용하도록 구성되고, 기판 에칭 단계 동안에 기판을 하부 전극 (131) 에 클램핑하도록 구성된다. 기판은 널리 공지된 시스템 및 방법을 이용하여 하부 전극에 정전 클램핑되거나 "척킹 (chuck)" 된다. 이들 널리 공지된 시스템 및 방법은 척킹 및 디척킹용 고전압 전극 (도시생략) 을 포함하는 하부 전극 (131) 을 유전체 재료로 코팅하는 것을 포함한다. 플라즈마 처리 장치 (100) 는 접지 (135) 를 통해 접지되는 챔버 벽 (140) 을 포함한다. 접지 (135) 는 유전체 재료 (136) 에 의해 하부 전극 (131) 으로부터 분리된다.
제 1 상부 전극 (111) 은 하부 전극 (131) 위에 짧은 거리에 배치된다. 통상, 제 1 상부 전극 (111) 은 오염을 회피하기 위해 기판과 호환 가능한 재료로 이루어진다. 제 1 상부 전극 (111) 은 상부 전극 어셈블리 (110) 의 일부이고, 이 상부 전극 어셈블리 (110) 는 접지 (148) 에 접속되며 RF 전력을 위한 완전한 전기 회로를 제공한다. 제 1 상부 전극 (111) 은 하부 전극 (131) 의 표면적과 다를 수도 있는 표면적을 갖는다. 상부 전극 어셈블리 (110) 는 챔버 커버 (117) 에 연결되고, 이 챔버 커버 (117) 는 접지 (118) 를 통해 접지된다. 일 예로서, 제 1 상부 전극 (111) 은 실리콘 또는 탄화실리콘과 같은 전도성 재료로 이루어지지만, 이에 한정되는 것은 아니다. 또한, 일 예로서, 제 1 상부 전극 (111) 은 하부 전극으로부터 약 2 cm 내지 약 3 cm 에 위치하지만, 이에 한정되는 것은 아니다.
유도 코일 (112) 로 이루어진 제 2 상부 전극 (112) 이 제 1 상부 전극 (111) 을 둘러싼다. 유도 코일 (112) 은 유전체 재료 (113) 에 매설된다. 유도 코일 (112) 은 RF 정합 (126) 에 연결되고, 이 RF 정합 (126) 은 RF 전원 (127) 에 연결된다. 일 실시형태에서, RF 전원 (127) 은 혼합된 주파수 전력을 공급할 수 있다. RF 전원 (127) 의 전력 주파수는 약 400 kHz 내지 약 27 MHz 범위에 있는 단일 또는 다중 주파수일 수 있다. 일 실시형태에서, 유도 결합된 플라즈마를 생성하는 전력은 약 0 W 내지 약 2000 W 이다.
차폐데이 차폐 (Faraday shield) (114) 는 유전체 재료 (113) 아래에 위치한다. 유전체 재료 (113) 는 전도성 블록 (115) 에 의해 둘러싸이고, 이 전도성 블록 (115) 은 차폐데이 차폐 (114) 와 전기 접촉을 이루어 하부 전극 (131) 에서부터 RF 전력을 위한 접지 경로를 제공한다. 차폐데이 차폐 (114) 의 외부 에지 아래에서 차폐데이 차폐 (114) 를 오목한 유전체 링 (116) 이 둘러싸고 있다. 일 실시형태에서, 유전체 링 (116) 은 석영으로 이루어진다.
차폐데이 차폐 (114) 는 전극들 중 임의의 전극으로부터 발생하는 RF 전력에 대한 RF 접지 경로를 제공한다. 또한, 차폐데이 차폐 (114) 가 처리 챔버 내에서 접지 영역과 전원 공급 영역 간의 면적비를 유지하는데 있어서 도움이 되므로, 용량 결합된 플라즈마 처리 동안에 챔버 내의 전압 강하는 유도 코일 (112) 의 도입에 따라 변하지 않게 된다. 동일 면적비를 유지함으로써, 유도 코일 (112) 이 도입되더라도, 에칭 프로세스가 일관된 상태를 유지할 수 있다. 또한, 차폐데이 차폐 (114) 는 유도 소스로부터 전계를 차단하여, 유도 플라즈마 처리 동안에 유도 코일 (112) 로부터의 용량 커플링을 최소로 함으로써, 챔버 컴포넌트의 스퍼터링을 회피한다. 차폐데이 차폐를 설계하는 방법에 대한 추가 상세는, 공동 양도되어, 2002 년 8 월 30 일 출원된 발명의 명칭이 "Faraday Shield Disposed within an Inductively Coupled Plasma Etching Chamber" 인 미국 특허 출원 제 10/232,564 호, 및 2003 년 1 월 15 일 출원된 발명의 명칭이 "Dual Interleaved Faraday Shields For An Inductively Coupled Plasma Etching Chamber" 인 미국 특허 출원 제 10/345,582 호에 기초할 수 있다. 챔버 세정 동안에, 유도 코일 (112) 은 RF 전원 (127) 에 의해 전원 공급되어 영역 (150) 에서 유도 결합된 플라즈마를 생성한다. 챔버 세정 동안에, 전도성 링 (133) 이 접지되고, 하부 전극이 부동 상태에 있으므로, 영역 (150) 에서 유도 결합된 플라즈마는 유도성 코일 (112) 과 전도성 링 (133) 사이에 주로 집중된다.
제 1 상부 전극 (111) 과 제 2 상부 전극 (112) 을 절연체 (120) 가 둘러싸고 있다. 절연체 (120) 아래에는 플라즈마 한정 링 (121, 122, 123) 이 있다. 하나 이상의 플라즈마 한정 링이 존재할 수 있다는 것을 이해해야 한다. 플라즈마 한정 링 (121, 122, 123) 은 처리 챔버 내에서 생성되는 플라즈마에 대한 한정을 제공한다. 일 실시형태에서, 플라즈마 한정 링 (121, 122, 123) 은 석영으로 이루어진다. 플라즈마 한정 링에 대한 상세는, 공동 양도되어, 2004 년 6 월 1 일 발행된 발명의 명칭이 "Plasma Processing Apparatus And Method For Confining An RF Plasma Under Very High Gas Flow and RF Power Density Conditions" 인 미국 특허 제 6,744,212 호, 및 2005 년 3 월 29 일 발행된 발명의 명칭이 "Chamber Configuration For Confining A Plasma" 인 미국 특허 제 6,872,281 호에 기초할 수 있다.
가스 피드 (128) 는 상부 전극 어셈블리 (110) 의 중심에 연결된다. 가스 피드 (128) 에 의해 처리 챔버 (100) 내로 공급되는 가스는 단일 가스 또는 2 개 이상의 가스의 가스 혼합물일 수 있다. 일 실시형태에서, 일단 가스가 상부 전극 어셈블리 (110) 에 도달하면, 가스 피드 (128) 는 제 1 상부 전극 (111) 의 중심 및 에지로부터 처리 챔버로 가스를 공급한다. 일 실시형태에서, 제 1 상부 전극 (111) 은 또한 가스 분배 샤워헤드이다. 일 예로서, 전체 가스 유량은 최대 1500 sccm 이지만, 이에 한정되는 것은 아니다. 또한, 일 실시형태에서, 상부 전극 어셈블리 (110) 는, 제 1 상부 전극 (111) 의 온도가 약 22 ℃ 내지 약 200 ℃ 가 되도록 유지하는데 이용될 수 있는 히터 플레이트 (도시생략) 를 갖는다.
상술한 바와 같이, 제 1 상부 전극 (111) 은 접지 (148) 를 통해 접지된다. 또한, 제 1 상부 전극 (111) 은 RF 전원 (도시생략) 또는 DC 전원 (도시생략) 에 연결될 수 있다. 제 1 상부 전극 (111) 과 하부 전극 (131) 간에 용량 결합된 플라즈마에 대한 전원은 하부 전극 (131) 대신에 제 1 상부 전극 (111) 에 연결된 전원으로부터 발생할 수 있다. 제 1 상부 전극 (111) 으로부터 전원이 공급되는 경우에, 하부 전극 (131) 이 접지된다. 또한, 상부 및 하부 전극은 RF 전원을 교대로 공급할 수 있다. 예를 들어, 제 1 상부 전극 (111) 에 대한 RF 전원은 또한 2 MHz, 27 MHz, 및 60 MHz 의 주파수 혼합을 가질 수 있다.
유도 플라즈마 소스는 챔버 벽 재료의 어떤 상당한 스퍼터링 없이 주변 영역 (150) 에서 고밀도 플라즈마를 생성할 수 있다. 상술한 바와 같이, 챔버 벽 재료의 스퍼터링은 챔버를 오염시키거나 부품의 수명을 감소시킬 수 있다. 유도 플라즈마 소스는, 에칭 프로세스 플라즈마와 접촉하게 되는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
도 1b 는 챔버 세정 플라즈마를 생성하는 프로세스 흐름의 일 실시형태를 도시한다. 단계 161 에서, O2 나 CF4 등과 같은 챔버 세정 가스가 플라즈마 처리 챔버에 공급된다. 단계 163 에서, RF 전력이 플라즈마 처리 챔버 (100) 의 유도 코일 (112) 에 공급되어 챔버 세정 플라즈마를 생성한다. 그 다음에, 챔버 세정 플라즈마는 챔버를 세정하는데 이용될 수 있다. 챔버 세정 동안에, 하부 전극 (131) 은 부동 상태에 있다. 영역 (150) 내의 챔버 세정 플라즈마는 하부 전극 (131) 으로부터 멀리 떨어져 있고, 도 1a 의 유도 전극 (112) 과 전도성 링 (133) 사이에 주로 배치된다.
통상의 평행판 용량 결합된 플라즈마는 에칭 프로세스 동안에 기판 (또는 웨이퍼) 의 에지 근방의 플라즈마 밀도의 저하를 나타낸다. 유도 플라즈마 소스는 방사형 균일도 제어 노브를 제공한다. 에칭 프로세스 동안에 유도 플라즈마가 턴 온되어, 메인 용량 전력의 RF 접지 경로에 영향을 주지 않으면서 기판 에지에서 추가적인 플라즈마 밀도를 제공할 수 있다. RF 접지 경로는 차폐데이 차폐의 이용으로 인해 영향을 받지 않는다는 것을 이해해야 한다. 또한, 유도 소스 플라즈마는, 예를 들어, 저유전율 유전체 에칭과 같이, 기판에 대해 높은 플라즈마 밀도와 매우 낮은 이온 에너지를 필요로 하는 프로세스에 플라즈마를 제공할 수도 있다. 따라서, 유도 소스 플라즈마를 이용함으로써, 반응기의 에칭 프로세스 윈도우를 증가시킬 수 있다.
도 1c 는 에칭 플라즈마를 생성하는 프로세스 흐름의 일 실시형태를 도시한다. 단계 171 에서, HARC (high aspect ratio contact etch) 의 경우에는 Ar, C4F8, 및 O2 와 같은 에칭 가스(들), 또는 산화물 에칭의 경우에는 Ar, CF4, CHF3, 및 O2 와 같은 에칭 가스(들)이 플라즈마 처리 챔버로 공급된다. 에칭 가스가 처리 챔버로 들어간 후에, 단계 173 에서, RF 전력이 용량 전극과 유도 전극에 공급되어 에칭 플라즈마를 생성한다. 생성된 에칭 플라즈마는 용량 성분 및 유도 성분을 갖는다. 상술한 바와 같이, 기판 에지 근방의 유도 성분은 기판 에지에서 플라즈마 밀도를 증가시켜, 기판 에지에서 플라즈마 밀도의 저하를 보상할 수 있다. 따라서, 기판 에지에 유도 성분을 추가함으로써, 기판 표면 전체에서 에칭 플라즈마가 더 균일해질 수 있다.
도 2 는 도 1a 의 이전 실시형태 (100) 의 변형 (200) 을 도시한다. 2 개의 유도 코일 세트 (212A, 212B) 가 상부 전극 어셈블리 (210) 에 위치한다. 2 개의 유도 코일 세트는 1 개의 내부 코일 (212A) 및 1 개의 외부 코일 (212B) 로 이루어진다. 차폐데이 차폐 (214) 는 전체 상부 전극 (211) 위에 배치되어, 내부 코일 (212A) 및 외부 코일 (212B) 양쪽을 덮는다. 패러데이 차폐 (214) 는 상술한 도 1a 의 패러데이 차폐 (114) 와 유사한 기능을 갖는다. 양쪽 코일 세트 (212A, 212B) 가 RF 정합 (226) 에 연결되고, 이 RF 정합 (226) 은 RF 전원 (227) 에 연결된다. 2 개 코일 세트 (212A, 212B) 의 배치로 인해, 프로세스 가스가 상부 전극 (211) 에 공급되고, 이 상부 전극 (211) 은 또한 중심 가스 피드 (228B) 및 에지 가스 피드 (228A) 를 통과하는 샤워헤드일 수도 있다. 도 2 의 다른 컴포넌트는 도 1a 에서 상술한 컴포넌트와 유사하다.
챔버 세정 동안에, 양쪽 유도 코일 (212A 및 212B) 이 전원 공급되거나, 외부 코일 (212B) 만이 전원 공급되어, 세정 플라즈마를 생성할 수 있다. 챔버 세정 동안에 양쪽 코일이 전원 공급되는 경우에는, 일정 비율 (예를 들어, 0% 내지 50%) 의 전력이 내부 코일에 공급됨과 동시에, 나머지 (예를 들어, 50% 내지 100% 의) 전력이 외부 코일 (212B) 에 공급될 수 있다. 세정 동안에 소프트 (soft) 유도 플라즈마가 정전 척을 손상시키지 않을 것이라는 것을 이해해야 한다. 또한, 추가적인 내부 유도 코일이 챔버 세정 프로세스를 위한 추가적인 처리 튜닝 노브를 제공한다는 것을 이해해야 한다. 내부 및 외부 코일은 상이한 주파수 또는 주파수 혼합에서 전원 공급될 수 있다. 이와 같은 상황에서는, 내부 및 외부 코일이 별도의 전원을 필요로 할 것이다. 추가적인 전원이 부가될 것이다.
일 실시형태에서, 먼저 플라즈마 처리 챔버 안으로 세정 가스 (또는 세정 가스 혼합물) 를 공급한 다음에 모든 전력을 코일 (212B) 에 공급하여 유도 코일 (212A 및 212B) 에 전원 공급함으로써, 영역 (250) 에서 유도 플라즈마가 생성된다. 세정 단계 동안에, 하부 전극 (231) 은 부동 상태를 유지할 수도 있고, 전도성 링 (233) 은 접지된다.
상술한 바와 같이, 유도 플라즈마 소스는, 챔버를 오염시키거나 부품의 수명을 감소시킬 수 있는 챔버 벽 재료의 어떤 상당한 스퍼터링 없이 주변 영역에서 고밀도 플라즈마를 생성할 수 있다. 유도 플라즈마 소스는, 에칭 프로세스 플라즈마와 접촉하게 되는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
또한, 용량 플라즈마에 전원 공급될 뿐만 아니라, 유도 코일 (212A, 212B) 의 양쪽 또는 한쪽에도 전원 공급되어, 에칭 플라즈마 균일도를 튜닝한다. 상부 전극 (211) 과 하부 전극 (231) 사이에 생성되는 용량 결합된 플라즈마 외에도, 유도 코일 (212A, 212B) 은 유도 플라즈마를 생성하도록 턴 온될 수 있고, 에칭 프로세스 동안에 기판 전체에서 플라즈마 균일도를 향상시키도록 튜닝될 수 있다. 통상적으로, 용량 결합된 플라즈마의 경우에, 플라즈마 밀도는 기판 에지에서 낮다. 도 1a 의 유도 코일(들) (112) 과 도 2 의 외부 코일(들) (212B) 은 기판 에지에서 이온 밀도를 상승시키는 것을 도울 수 있다. 또한, 도 2 의 내부 코일(들) (212A) 및 외부 코일(들) (212B) 은 플라즈마 밀도를 튜닝하는데 동시에 이용될 수 있다. 상술한 바와 같이, 전력의 일정 비율이 내부 코일(들) (212A) 에 공급될 수 있고, 나머지는 외부 코일(들) (212B) 에 공급될 수 있다. 도 2 의 내부 및 외부 코일들 (212A, 212B) 은 에칭 프로세스를 위한 추가적인 프로세스 튜닝 노브를 제공한다. 몇몇 애플리케이션의 경우에는, 유도 코일 (내부 코일, 외부 코일, 또는 양쪽 코일들) 로부터의 유도 전력만이 유도 플라즈마를 생성하는데 이용된다.
패러데이 차폐 (214) 를 이용함으로써, RF 접지 경로는 영향을 받지 않는다. 또한, 유도 소스 플라즈마는, 포토레지스트 박리 또는 저유전율 유전체 에칭과 같이, 기판에 대해 높은 플라즈마 밀도와 매우 낮은 이온 에너지를 필요로 하는 프로세스에 플라즈마를 제공할 수 있다. 따라서, 2 개의 유도 코일 세트를 이용하면, 에칭 프로세스에 대한 프로세스 윈도우를 증가시킬 것이다. 도 2 에 도시된 실시형태에 의해 에칭 플라즈마를 생성하는 프로세스 흐름은, 단일의 유도 전극이 이중의 유도 전극 (코일 (212A, 212B)) 으로 대체된다는 점을 제외하고는, 도 1c 의 프로세스와 유사하다. 생성된 에칭 플라즈마는 용량 성분 및 유도 성분을 갖는다. 유도 성분은 기판 전체에서 플라즈마 균일도를 증가시키도록 튜닝될 수 있다.
도 3a 에는 본 발명의 다른 실시형태 (300) 가 도시되어 있다. 도 3a 에서, 내부의 하부 전극 (331) 에 추가하여 외부의 하부 전극 (335) 이 전도성 링 (333) 아래에 배치된다. 내부의 하부 전극 (331) 은 기판을 지지하도록 구성된다. 외부의 하부 전극 (335) 은 하부 전극 어셈블리 (330) 의 일부인 내부의 하부 전극 (331) 과 동일한 RF 전원 (339) 에 연결될 수 있다. RF 전원 (339) 은 약 400 kHz 내지 약 60 MHz 범위에 있는 단일 주파수 또는 다중 주파수를 공급할 수 있다. RF 전원 (339) 은 내부의 하부 전극 (331) 및 외부의 하부 전극 (335) 에 전력을 공급하고, RF 정합 (338) 에 접속된다. 일 실시형태에서, 스위치 (336) 는 전극에 대한 RF 전력의 인가를 제어한다. 기판 에칭 동안에, 스위치 (336) 는 내부의 하부 전극 (331) 에 공급되는 RF 전력을 제어한다. 이러한 프로세스 동안에, 외부의 하부 전극 (335) 은 접지 (337) 또는 RF 튜닝 블록 키트 (357) 에 연결될 수 있다. RF 튜닝 블록 (357) 을 통해, 전원 (339) 에 의해 내부의 하부 전극 (331) 에 공급되는 일정 주파수의 RF 전력을 선택적으로 접지시킬 수 있다. 예를 들어, 내부의 하부 전극 (331) 에 공급되는 RF 전력은 2 MHz, 27 MHz 및 60 MHz 를 포함한다. RF 튜닝 블록 키트 (357) 에 의해 선택적으로 접지함으로써, 60 MHz 와 같은 하나 또는 2 개의 특정 주파수의 접지가 에칭 처리 균일도를 튜닝할 수 있다.
또한, 별도의 전원을 이용하여, 내부의 하부 전극 (331) 및 외부의 하부 전극 (335) 에 동시에 또는 교대로 전력을 공급할 수 있다. 도 3a 의 다른 컴포넌트는 도 1a 에서 상술한 컴포넌트와 유사하다. 챔버 세정 동안에, 외부의 하부 전극 (335) 에 전력을 공급하여, 영역 (350) 에서 용량 결합된 플라즈마를 제공함으로써, 주변 챔버를 세정한다. 영역 (350) 에서 용량 결합된 플라즈마는 상부 전극 (311) 과 외부의 하부 전극 (335) 사이에서 생성된다. 세정 프로세스 동안에, 하부 전극이 부동 상태에 있을 수도 있으므로, 용량 결합된 세정 플라즈마와 그 파괴적인 영향은 노출된 내부의 하부 전극 (331) (또는 정전 척) 으로부터 멀리 떨어져 있게 된다. 또한, 세정 플라즈마가 내부의 하부 전극 (또는 정전 척) 에 충격을 가하지 않으므로, 내부의 하부 전극 (또는 정전 척) 의 수명을 연장시키게 된다. 또한, 종래 세정 기술에 비해, 내부의 하부 전극 (331) 으로부터의 용량 결합된 플라즈마를 이용하는 경우와 같이 많은 입자가 생성되지는 않는다.
주변 용량 플라즈마 소스는 주변 영역 (350) 에서 고밀도 플라즈마를 생성한다. 용량 플라즈마 소스는 에칭 프로세스 플라즈마와 접촉하게 되는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
도 3b 는 챔버 세정 플라즈마를 생성하는 프로세스 흐름의 일 실시형태를 도시한다. 단계 361 에서, O2 나 CF4 등과 같은 챔버 세정 가스가 플라즈마 처리 챔버에 공급된다. 단계 363 에서, RF 전력이 플라즈마 처리 챔버의 외부의 하부 전극에 공급되어 챔버 세정 플라즈마를 생성한다. 그 다음에, 챔버 세정 플라즈마를 이용하여 챔버를 세정할 수 있다. 챔버 세정 동안에, 내부의 하부 전극은 부동 상태를 유지한다. 주변 영역에서 챔버 세정 플라즈마는 하부 전극으로부터 사실상 멀리 떨어져 있고, 외부의 하부 전극과 상부 전극 사이에 주로 배치된다.
또한, 주변 용량 플라즈마 소스는 방사형 균일도 제어 노브를 포함할 수 있는 능력을 제공한다. 주변 용량 플라즈마는 에칭 프로세스 동안에 턴 온되어 메인 용량 결합된 플라즈마에 대한 전극 에지 근방의 에칭 플라즈마 균일도를 향상시킬 수 있다.
도 3c 는 에칭 플라즈마를 생성하는 프로세스 흐름의 일 실시형태를 도시한다. 단계 371 에서, HARC (high aspect ratio contact etch) 의 경우에는 Ar, C4F8 및 O2 와 같은 에칭 가스(들), 또는 산화물 에칭의 경우에는 Ar, CF4, CHF3, 및 O2 와 같은 에칭 가스(들)가 플라즈마 처리 챔버에 공급된다. 단계 373 에서, RF 전력이 내부의 하부 전극 (331) 과 외부의 하부 전극 (335) 에 공급되어, 에칭 플라즈마를 생성한다. 외부의 하부 전극은 기판 에지 근방에서 플라즈마 밀도를 증가시키는 것을 돕는다는 것을 이해해야 한다.
도 4 에는 본 발명의 다른 실시형태가 도시되어 있다. 도 4 에서, 유도 코일에 의해 형성된 제 2 하부 전극 (435) 은 전도성 링 (433) 및 패러데이 차폐 (414) 아래에 위치한다. 제 2 하부 전극 (435) 은 제 1 하부 전극 (431) 에서 동일 RF 전원에 연결될 수 있다. RF 전원 (439) 은 RF 전력을 제 1 하부 전극 (431) 또는 제 2 하부 전극 (435) 에 공급하고, 스위치 (436) 에 의해 제어된다. RF 전원 (439) 은 약 400 KHz 내지 약 60 MHz 범위에 있는 단일 주파수 또는 다중 주파수를 공급할 수 있다. RF 전원 (439) 은 내부의 하부 전극 (431) 및 외부의 하부 전극 (435) 에 전력을 공급하고, RF 정합 (438) 에 접속된다. 일 실시형태에서, 스위치 (436) 는 전극에 대한 RF 전력의 인가를 제어한다. 기판 에칭 동안에, 스위치 (436) 는 내부의 하부 전극 (431) 에 공급되는 RF 전력을 제어한다. 이러한 공정 동안에, 외부의 하부 전극 (435) 은 접지 (437) 또는 RF 튜닝 블록 키트 (457) 에 연결될 수 있다. RF 전원 (439) 은 약 400 kHz 내지 약 60 MHz 범위에 있는 단일 주파수 또는 다중 주파수를 공급할 수 있다. 유전체 재료 (136) 는 내부의 하부 전극 (431) 및 외부의 하부 전극 (435) 사이에 배치된다. RF 전원 (439) 은 내부의 하부 전극 (431) 및 외부의 하부 전극 (435) 에 전력을 공급하고, RF 정합 (438) 에 접속된다. 일 실시형태에서, 스위치 (436) 는 전극에 대한 RF 전력의 인가를 제어한다. 기판 에칭 동안에, 스위치 (436) 는 내부의 하부 전극 (431) 에 공급되는 RF 전력을 제어한다. 이러한 공정 동안에, 외부의 하부 전극 (435) 은 접지 (437) 또는 RF 튜닝 블록 키트 (457) 에 연결될 수 있다.
또한, 별도의 RF 전원을 이용하여, 하부 전극 (431) 및 제 2 하부 전극 (435) 에 RF 전력을 제공할 수 있다. 기판 에칭 동안에, 하부 전극 (431) 에 전력이 공급된다. 도 4 의 다른 컴포넌트는 도 1a 에서 상술한 컴포넌트와 유사하다.
챔버 세정 동안에, 우선 플라즈마 처리 챔버에 세정 가스가 공급된다. 그 다음에, 제 2 하부 전극 (435) 에 전력이 공급되어, 영역 (450) 에서 유도 결합된 플라즈마를 제공함으로써 주변 챔버 하드웨어를 세정한다. 영역 (450) 에서 유도 결합된 플라즈마는 상부 전극 (411) 과 제 2 하부 전극 (435) 사이에서 생성되고, 주로 챔버 에지 근방에 존재한다. 플라즈마가 챔버 에지 근방에 존재하며 (이온 에너지가 낮은) 유도 소스로부터 생성되므로, 플라즈마는 그만큼 하부 전극 (또는 정전 척) 에 충격을 가하지 않고, 하부 전극 또는 정전 척의 수명을 연장시킨다. 또한, 플라즈마는 제 1 하부 전극 (431) 으로부터 용량 결합된 플라즈마를 이용하는 경우와 같이 많은 입자를 생성하지는 않는다.
상술한 바와 같이, 유도 플라즈마 소스는, 챔버를 오염시키거나 부품의 수명을 줄일 수 있는 챔버 벽 재료의 어떤 상당한 스퍼터링 없이 주변 영역에서 고밀도 플라즈마를 생성할 수 있다. 유도 플라즈마 소스는, 종래 기술의 나쁜 영향 없이 에칭 프로세스 플라즈마와 접촉하게 되는 주변 챔버 하드웨어 상에 퇴적된 폴리머 (또는 에칭 부산물) 를 효과적으로 세정할 수 있다.
통상적인 평행판 용량 결합된 플라즈마는 에칭 프로세스 동안에 챔버 벽 근방에서 플라즈마 밀도의 저하를 나타낸다. 상술한 유도 플라즈마 소스는 방사형 균일도 제어 노브를 제공한다. 유도 플라즈마는 에칭 프로세스 동안에 턴 온되어, 메인 용량 전력의 RF 접지 경로에 영향을 주지 않으면서 전극 에지에서 추가적인 플라즈마 밀도를 제공할 수 있다. 도한, 유도 소스 플라즈마는 예를 들어, 포토레지스트 박리 또는 저유전율 유전체 에칭과 같이, 기판에 대해 높은 플라즈마 밀도와 매우 낮은 이온 에너지를 필요로 하는 프로세스에 플라즈마를 제공할 수 있다. 따라서, 여분의 추가 전극을 이용함으로써, 에칭 프로세스에 대한 프로세스 윈도우를 증가시킬 수 있을 뿐만 아니라 에칭 단계 간에 챔버를 효과적으로 세정할 수도 있다.
상술한 플라즈마 처리 챔버는 용량 및 유도 플라즈마 소스의 조합에 의한 효과적인 챔버 세정과 함께, 다마신 멀티스텝 프로세스, HARC (high aspect ratio contact etch), 박리 (strip) 등과 같은 다양한 범위의 프로세스 애플리케이션에 대해 일정 범위의 플라즈마 밀도, 이온 에너지 및 화학적 제어를 제공한다. 일 실시형태에서, 차세대 입자 제어를 위해 효과적인 챔버 세정을 적용하여 수율을 향상시키며 에칭 챔버에서 이용되는 정전 척의 수명을 연장시킬 수 있다.
상술한 플라즈마 처리 챔버는 기판에 대한 프로세스 파라미터의 방사형 균일도를 제어하는 노브를 제공한다. 멀티스텝 레시피를 이용하는 프로세스 애플리케이션은 에지 균일도에 대한 다양한 중심을 생성하는 일정 범위의 프로세스 압력, RF 전력, 및 화학물질을 수반한다. 제자리 (in-situ) 제어 노브의 이용 가능성은, 피처 사이즈가 계속 줄어듦에 따라 멀티스텝 레시피를 이용하는 프로세스 애플리케이션에 대해 엄격한 균일도 제어를 유지하는 유연성을 제공한다.
이상 이해를 돕기 위해 어느 정도 상세하게 본 발명을 설명하였지만, 첨부된 청구항의 범위 내에서 일정 변형 및 수정이 실시될 수도 있다는 것을 이해할 것이다. 따라서, 본 발명의 실시형태는 한정적인 것이 아닌 예시적인 것으로서 고려되어야 하고, 본 발명은 본원에 개시된 상세에 한정되는 것이 아니라, 첨부된 청구항의 범위 및 그 등가물 내에서 변형될 수도 있다.

Claims (18)

  1. 플라즈마 처리 챔버에 있어서,
    하부 전극 어셈블리로서,
    기판을 지지하고 기판 에칭을 위한 중앙 영역을 정의하기 위한 내부의 하부 전극;
    상기 내부의 하부 전극을 둘러싸는 외부의 하부 전극으로서, 상기 외부의 하부 전극은 챔버 세정을 위한 주변 영역을 정의하고, 상기 외부의 하부 전극은 전도성 링 아래에 위치된 유도 코일을 포함하는, 상기 외부의 하부 전극;
    상기 내부의 하부 전극 및 상기 외부의 하부 전극 사이에 배치된 유전체 재료로서, 상기 유전체 재료는 상기 내부의 하부 전극을 상기 외부의 하부 전극으로부터 분리시키는, 상기 유전체 재료; 및
    상기 내부의 하부 전극 또는 상기 외부의 하부 전극에 무선 주파수 (RF) 전력을 연결시키는 스위치에 의해 정의된, 상기 하부 전극 어셈블리; 및
    상부 전극을 갖는 상부 전극 어셈블리로서, 상기 상부 전극은 상기 내부의 하부 전극과 상기 외부의 하부 전극 위에 배치되는, 상기 상부 전극 어셈블리를 포함하는, 플라즈마 처리 챔버.
  2. 제1항에 있어서,
    상기 외부의 하부 전극은 유도 전극인, 플라즈마 처리 챔버.
  3. 제1항에 있어서,
    상기 유도 코일은 상기 전도성 링 아래에 위치된 패러데이 차폐 (Faraday shield) 아래에 더 위치된, 플라즈마 처리 챔버.
  4. 제1항에 있어서,
    상기 상부 전극 어셈블리와 상기 하부 전극 어셈블리를 둘러싸며, 상기 플라즈마 처리 챔버 내의 상기 하부 전극에 평행하게 매달린 복수의 한정 링 (confinement ring) 을 더 포함하는, 플라즈마 처리 챔버.
  5. 제1항에 있어서,
    에칭 동안, 상기 스위치는 상기 내부의 하부 전극으로의 상기 무선 주파수 (RF) 전력을 제어하고, 상기 외부의 하부 전극은 접지 또는 무선 주파수 (RF) 튜닝 블록 키트에 커플링된, 플라즈마 처리 챔버.
  6. 제1항에 있어서,
    상기 무선 주파수 (RF) 전력은 400 kHz 및 60 MHz의 범위 내의 주파수를 제공하는, 플라즈마 처리 챔버.
  7. 제1항에 있어서,
    상기 내부의 하부 전극 및 외부의 하부 전극은 무선 주파수 (RF) 정합에 연결된, 플라즈마 처리 챔버.
  8. 제5항에 있어서,
    상기 무선 주파수 (RF) 튜닝 블록 키트는 상기 내부의 하부 전극으로의 상기 무선 주파수 (RF) 전력의 특정 주파수의 선택적인 접지를 허용하는, 플라즈마 처리 챔버.
  9. 제8항에 있어서,
    상기 무선 주파수 (RF) 전력은 2 MHz, 27 MHz 및 60 MHz의 상기 내부의 하부 전극에 인가된 무선 주파수 (RF) 를 포함하고, 상기 RF 튜닝 블록 키트에 의한 상기 선택적인 접지는 상기 에칭 처리 균일성을 튜닝하도록 하나 또는 두개의 특정 주파수의 접지를 허용하는, 플라즈마 처리 챔버.
  10. 제1항에 있어서,
    상기 내부의 하부 전극에 제 1 무선 주파수 (RF) 전력 소스가 연결되어, 400 kHz 내지 60 MHz 의 범위에서 단일 주파수 또는 다중 주파수를 갖는 무선 주파수 (RF) 전력을 공급함으로써 상기 상부 전극으로 제 1 플라즈마를 생성하는, 플라즈마 처리 챔버.
  11. 제1항에 있어서,
    제2 무선 주파수 (RF) 전력 소스는 상기 스위치를 통해 상기 유도 코일에 커플링되고, 상기 제2 무선 주파수 (RF) 전력은 챔버 세정을 위한 상기 영역에서의 세정 처리 동안 활성화되고, 상기 세정 처리 동안 상기 내부의 하부 전극은 부동 상태가 되는, 플라즈마 처리 챔버.
  12. 플라즈마 처리 챔버에 있어서,
    하부 전극 어셈블리로서,
    기판을 지지하고 기판 에칭을 위한 중앙 영역을 정의하기 위한 내부의 하부 전극으로서, 상기 내부의 하부 전극은 제1 무선 주파수 (RF) 전력으로의 연결을 갖는, 상기 내부의 하부 전극;
    상기 내부의 하부 전극 외부에 배치된 외부의 하부 전극으로서, 상기 외부의 하부 전극은 챔버 세정을 위한 영역을 정의하고, 상기 외부의 하부 전극은 접지에 연결된 전도성 링을 포함하는, 상기 외부의 하부 전극; 및
    상기 내부의 하부 전극 및 상기 외부의 하부 전극 사이에 배치된 유전체 재료로서, 상기 내부의 하부 전극을 상기 외부의 하부 전극으로부터 분리시키는 상기 유전체 재료에 의해 정의된, 상기 하부 전극 어셈블리; 및
    상기 내부의 하부 전극 위에 배치된 제1 상부 전극 및 상기 제1 상부 전극을 둘러싸는 제2 상부 전극을 가지며, 상기 하부 전극 어셈블리의 상기 외부의 하부 전극 위에 배치되는 상부 전극 어셈블리를 포함하고,
    상기 제2 상부 전극은,
    유전체 재료에 매립된 유도 코일;
    상기 유전체 재료 아래에 위치된 패러데이 차폐 (Faraday shield); 및
    상기 패러데이 차폐 아래에 위치된 유전체 링으로서, 챔버 세정을 위한 상기 영역을 향하도록 구성된, 상기 유전체 링에 의해 정의된, 플라즈마 처리 챔버.
  13. 제12항에 있어서,
    상기 패러데이 차폐와 전기적으로 접촉되는 전도성 블록을 더 포함하고, 상기 전도성 블록은 상기 내부의 하부 전극에 인가될 경우 상기 제1 무선 주파수 (RF) 전력을 위한 접지 경로를 제공하는, 플라즈마 처리 챔버.
  14. 제12항에 있어서,
    상기 제1 무선 주파수 (RF) 전력은 상기 제1 상부 전극 및 상기 내부의 하부 전극 사이의 용량적으로 커플링된 플라즈마를 생성하기 위한 전력을 제공하는, 플라즈마 처리 챔버.
  15. 제12항에 있어서,
    제2 무선 주파수 (RF) 전력을 더 포함하고, 상기 제2 무선 주파수 (RF) 전력은 상기 유도 코일로의 연결을 갖는, 플라즈마 처리 챔버.
  16. 제15항에 있어서,
    상기 제2 무선 주파수 (RF) 전력은 챔버 세정을 위한 상기 영역에서 세정 플라즈마를 생성하기 위한 전력을 제공하는, 플라즈마 처리 챔버.
  17. 제12항에 있어서,
    상기 제1 무선 주파수 (RF) 전력은 단일 무선 주파수 (RF) 전력 또는 400 kHz 에서 60 MHz 범위 내의 다양한 주파수의 다중의 무선 주파수 (RF) 전력을 제공하는, 플라즈마 처리 챔버.
  18. 제12항에 있어서,
    복수의 한정 링은 상기 상부 전극 어셈블리 및 상기 플라즈마 처리 챔버 내의 상기 하부 전극 어셈블리를 둘러싸는, 플라즈마 처리 챔버.


KR1020137025015A 2006-02-15 2007-02-15 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기 KR101455954B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/355,458 US8012306B2 (en) 2006-02-15 2006-02-15 Plasma processing reactor with multiple capacitive and inductive power sources
US11/355,458 2006-02-15
PCT/US2007/004235 WO2007095388A2 (en) 2006-02-15 2007-02-15 Plasma processing reactor with multiple capacitive and inductive power sources

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087020075A Division KR101391006B1 (ko) 2006-02-15 2007-02-15 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기

Publications (2)

Publication Number Publication Date
KR20130124394A KR20130124394A (ko) 2013-11-13
KR101455954B1 true KR101455954B1 (ko) 2014-10-31

Family

ID=38367027

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137025015A KR101455954B1 (ko) 2006-02-15 2007-02-15 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
KR1020087020075A KR101391006B1 (ko) 2006-02-15 2007-02-15 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020087020075A KR101391006B1 (ko) 2006-02-15 2007-02-15 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기

Country Status (10)

Country Link
US (3) US8012306B2 (ko)
EP (1) EP1993745B1 (ko)
JP (3) JP5336199B2 (ko)
KR (2) KR101455954B1 (ko)
CN (1) CN101557885B (ko)
AT (1) ATE552923T1 (ko)
MY (1) MY151896A (ko)
SG (1) SG169982A1 (ko)
TW (1) TWI354309B (ko)
WO (1) WO2007095388A2 (ko)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) * 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US20120088371A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104217914B (zh) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6574547B2 (ja) 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN103752562A (zh) * 2014-02-13 2014-04-30 苏州众显电子科技有限公司 一种利用等离子清洗机洗液晶显示屏基板工艺
CN205741208U (zh) * 2015-09-16 2016-11-30 应用材料公司 用于改进的等离子体处理腔室的系统和设备
CN106548914B (zh) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 一种等离子体处理设备及其清洗系统和方法
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
US10777385B2 (en) 2016-07-14 2020-09-15 Tokyo Electron Limited Method for RF power distribution in a multi-zone electrode array
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN107610999A (zh) * 2017-08-28 2018-01-19 北京北方华创微电子装备有限公司 下电极机构及反应腔室
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
TWI744566B (zh) 2017-11-17 2021-11-01 新加坡商Aes全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
KR20200100643A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 시스템에서 변조 공급기들의 개선된 적용
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US10588212B1 (en) * 2019-05-22 2020-03-10 Georges J. Gorin Plasma initiation in an inductive RF coupling mode
CN112103163B (zh) * 2019-06-17 2022-06-17 北京北方华创微电子装备有限公司 下电极装置及相关等离子体系统
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
CN110867406A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230317411A1 (en) * 2022-03-13 2023-10-05 Applied Materials, Inc. Radio frequency source for inductively coupled and capacitively coupled plasmas in substrate processing chambers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP2003155569A (ja) * 2001-11-16 2003-05-30 Nec Kagoshima Ltd プラズマcvd装置及びそのクリーニング方法
KR20050013253A (ko) * 2002-06-27 2005-02-03 램 리써치 코포레이션 복수의 주파수에 동시에 응답하는 전극을 갖는 플라즈마프로세서

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0202904B1 (en) 1985-05-20 1991-04-10 Tegal Corporation Plasma reactor with removable insert
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JP2554896B2 (ja) 1987-10-01 1996-11-20 セイコーエプソン株式会社 プラズマcvd装置
JPH0364460A (ja) 1989-07-31 1991-03-19 Hitachi Ltd 薄膜形成装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP4084335B2 (ja) * 1996-03-01 2008-04-30 株式会社日立製作所 プラズマエッチング処理装置
JPH09260098A (ja) * 1996-03-19 1997-10-03 Fujitsu Ltd プラズマ処理装置
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
JPH10242134A (ja) 1997-02-28 1998-09-11 Sharp Corp プラズマcvd装置
JPH10251849A (ja) * 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
JPH10326772A (ja) * 1997-05-26 1998-12-08 Ricoh Co Ltd ドライエッチング装置
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
JP2000021598A (ja) * 1998-07-02 2000-01-21 Sony Corp プラズマ処理装置
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
JP3586197B2 (ja) * 2000-03-23 2004-11-10 シャープ株式会社 薄膜形成用プラズマ成膜装置
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
JP2004349171A (ja) 2003-05-23 2004-12-09 Cataler Corp 固体高分子型燃料電池用触媒層
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP4456412B2 (ja) * 2004-05-27 2010-04-28 株式会社日立製作所 プラズマ処理装置
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US20060278339A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP2003155569A (ja) * 2001-11-16 2003-05-30 Nec Kagoshima Ltd プラズマcvd装置及びそのクリーニング方法
KR20050013253A (ko) * 2002-06-27 2005-02-03 램 리써치 코포레이션 복수의 주파수에 동시에 응답하는 전극을 갖는 플라즈마프로세서

Also Published As

Publication number Publication date
JP5518174B2 (ja) 2014-06-11
JP2009527128A (ja) 2009-07-23
EP1993745B1 (en) 2012-04-11
WO2007095388A3 (en) 2007-12-13
EP1993745A4 (en) 2010-03-17
JP5470421B2 (ja) 2014-04-16
MY151896A (en) 2014-07-14
ATE552923T1 (de) 2012-04-15
TWI354309B (en) 2011-12-11
CN101557885A (zh) 2009-10-14
JP5336199B2 (ja) 2013-11-06
US20120279659A1 (en) 2012-11-08
US8906197B2 (en) 2014-12-09
SG169982A1 (en) 2011-04-29
JP2012212916A (ja) 2012-11-01
KR20130124394A (ko) 2013-11-13
US20070186855A1 (en) 2007-08-16
US8337623B2 (en) 2012-12-25
TW200811905A (en) 2008-03-01
JP2013080956A (ja) 2013-05-02
CN101557885B (zh) 2015-03-11
WO2007095388A2 (en) 2007-08-23
KR101391006B1 (ko) 2014-04-30
US20110277784A1 (en) 2011-11-17
EP1993745A2 (en) 2008-11-26
KR20080094794A (ko) 2008-10-24
US8012306B2 (en) 2011-09-06

Similar Documents

Publication Publication Date Title
KR101455954B1 (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
US8911590B2 (en) Integrated capacitive and inductive power sources for a plasma etching chamber
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
KR101336479B1 (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
KR101488538B1 (ko) 다중 주파수 rf 전력을 이용한 하이브리드 rf 용량 및 유도 결합형 플라즈마 소스 및 그 사용 방법
US20060043067A1 (en) Yttria insulator ring for use inside a plasma chamber
WO2003043061A1 (en) Apparatus and method for improving etch rate uniformity

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171013

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181011

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191010

Year of fee payment: 6