CN101557885B - 具有多个电容性和电感性电源的等离子处理反应器 - Google Patents

具有多个电容性和电感性电源的等离子处理反应器 Download PDF

Info

Publication number
CN101557885B
CN101557885B CN200780005750.6A CN200780005750A CN101557885B CN 101557885 B CN101557885 B CN 101557885B CN 200780005750 A CN200780005750 A CN 200780005750A CN 101557885 B CN101557885 B CN 101557885B
Authority
CN
China
Prior art keywords
bottom electrode
plasma
plasma processing
electrode
outer bottom
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200780005750.6A
Other languages
English (en)
Other versions
CN101557885A (zh
Inventor
拉金德尔·德辛德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101557885A publication Critical patent/CN101557885A/zh
Application granted granted Critical
Publication of CN101557885B publication Critical patent/CN101557885B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F5/00Electrolytic stripping of metallic layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B6/00Cleaning by electrostatic means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F1/00Electrolytic cleaning, degreasing, pickling or descaling
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

大体而言,本发明的实施例提供改进的处理室清理机制、设备和方法。本发明还可用来提供额外的调节器,以调整蚀刻过程。在一实施例中,用于产生等离子的等离子处理室包含:下电极组件,具有内部下电极和设置在该内部下电极外部的外部下电极,其中该内部下电极用于容纳基片。等离子处理室还包含具有上电极的上电极组件,其中上电容性电极直接设置在该内部及外部下电极的上方。

Description

具有多个电容性和电感性电源的等离子处理反应器
技术领域
本发明涉及具有多个电容性和电感性电源的等离子处理反应器。
背景技术
在半导体装置(如集成电路或平面显示器)的制造中,材料层会被交替地沉积至基片表面上并自基片(例如,半导体晶片或者玻璃板)表面受到蚀刻。如本领域中所公知的,沉积层的蚀刻可凭借各种技术完成,包含等离子增强蚀刻。在等离子增强蚀刻中,基片的实际蚀刻在等离子处理室内部进行。在蚀刻处理期间,等离子是由适当的蚀刻气源所形成,以蚀刻工件未受到蚀刻掩模保护的区域,并留下所需的图案。
在基片等离子蚀刻期间,由光刻胶或者被蚀刻材料层与蚀刻化学品所形成的聚合物所构成的蚀刻副产物主要会沉积在外围处理室硬件上。沉积后的副产物会随着后续的基片蚀刻而累积。为了提高基片制造的器件成品率,维持可再现的处理室是很重要的,其可以通过蚀刻一个或多个基片后执行周期性的处理室清理程序而完成。通常,在蚀刻每片基片后执行处理室清理。
电容性耦合真空等离子系统常被用来蚀刻来自半导体基片的介电材料。电容性系统具有产生低等离子损害以及对下层及光刻胶层具有较高选择性的优点。然而,当在基片蚀刻后使用电容性耦合等离子来清理处理室时,具有较高离子能量的电容性耦合等离子会轰击裸露的静电卡盘。静电卡盘用来在基片蚀刻期间支撑基片,而轰击裸露的静电卡盘会导致静电卡盘的寿命降低及粒子产生。此外,由处理室中的上下电极所产生的电容性耦合等离子主要集中在静电卡盘上方的中央区域,因此无法有效地清理外围处理室硬件。为了完全地清理外围处理室硬件,需要更长的清理时间,这影响了制造生产率。在现行的电容性耦合等离子反应室中,在处理(蚀刻)每片基片后的处理室清理通常利用上述的限制来加以最佳化。
鉴于上述问题,需要一种方法及设备来提供改进的处理室清理机制,以提高静电卡盘的寿命,提高基片成品率,并增加制造生产率。
发明内容
大体而言,本发明的实施例通过提供较佳的处理室清理机制来满足上述需要。此外,本发明的实施例提供额外的调节器来调整蚀刻工艺。应当注意,本发明可以多种方式加以实施,包含处理、设备或系统。以下将叙述本发明的多个新颖性实施例。
在一实施例中,用以产生等离子的等离子处理室包含:下电极组件,其具有内部下电极以及设置在内部下电极外部的外部下电极,其中该内部下电极用于容纳基片。该等离子处理室包含具有上电极的上电极组件,其中上电容性电极直接设置在内部与外部下电极的上方。
在另一实施例中,用以产生等离子的等离子处理室包含:下电极组件,包含内部下电极以及围绕该内部下电极的外部下电极,其中该内部下电极用以容纳基片。等离子处理室包含:上电极组件,包含位于内部及外部下电极上方一定距离处的上电极。该上电极限定了与内部下电极以及外部下电极的至少一部分相关的区域。内部下电极及上电极用以将由下电极组件与上电极组件限定的空间(cavity)的第一区域内的第一气体转变为第一电容性耦合等离子。外部下电极及上电极用来将该空间(cavity)的第二区域内的第二气体转变为第二电容性耦合等离子。
在又一实施例中,提供一种在等离子处理室中产生等离子的方法。此方法从供应工艺气体至等离子处理室中开始。此方法接着通过供电至外部下电极而产生等离子,该外部下电极为下电极组件的一部分且围绕下电极组件的内部下电极的周围。
从下列的详细描述并且结合附图以本发明的原理示例的方式加以说明,本发明的其他方面和优点将更加清晰。
附图说明
从下面结合附图的详细描述,本发明将更容易理解。类似的参考标号是指类似的结构元件。
图1A显示了基片蚀刻系统一个实施例的示意图。
图1B显示了在等离子系统中产生清理等离子的工艺流程。
图1C显示了在等离子系统中产生蚀刻等离子的工艺流程。
图2显示了基片蚀刻系统另一实施例的示意图。
图3A显示了基片蚀刻系统另一实施例的示意图。
图3B显示了等离子系统中产生清理等离子的工艺流程。
图3C显示了在等离子系统中产生蚀刻等离子的工艺流程。
图4显示了基片蚀刻系统又一实施例的示意图。
具体实施方式
现在将描述改进的更有效率且更灵活的处理室清理及蚀刻系统、方法以及设备的几个示范性实施例。本领域技术人员应当了解:在未使用本文中所述的部分或者全部特定细节的情况下,仍可实行本发明。
如前所述,蚀刻每片基片后的可再现的处理室壁表面状况会改善成品率。有效的现场处理室清理已成为下一代的等离子蚀刻反应器的关键特征。本发明的一个实施例提供围绕基片支撑件的第二等离子源。靠近处理室的外围的该第二等离子源可在基片蚀刻后的处理室处理操作期间启动,以清理在基片蚀刻期间累积了蚀刻副产物的外围处理室硬件。图1A显示了等离子处理设备100的剖面示意图,其具有围绕基片支撑件的第二等离子源。该实施例包含了:由导电材料所构成的下电极131,其操作性地连接至RF匹配器138以及RF电源139。下电极131也是基片支撑件并且是下电极组件130的一部分。在一个实施例中,RF电源139为多频率电源。例如,该电源可具有介于大约400KHz至大约60MHz范围的混合频率。当RF能量自下电极131被输送至处理室内的气体时产生了等离子。在一实施例中,RF电源输送上至约1瓦至大约10瓦的RF能量等级。
在一实施例中,下电极组件130具有内建的冷却机制(未示),其可将基片冷却至介于约-20℃至约70℃间的温度范围。围绕基片支撑件的是导电环133,其在基片处理期间向等离子提供了额外接地路径。在下电极131与导电环133之间设有介电环132,其使基片支撑件与导电环133绝缘。
通过示例性而非限制性的方式,下电极131具有适合容纳300mm基片的区域。在一实施例中,已供电的下电极131用以容纳基片(未图示)并用以在基片蚀刻操作期间夹持该基片至下电极131。通过已知的系统或方法,该基片被以静电方式夹持(clamp)或“夹紧(chuck)”至该下电极。这些已知系统及方法包含:利用包含了用于夹紧或者释放目的的高电压电极(未图示)的介电材料来包覆下电极131。等离子处理设备100包含经由接地件135接地的室壁140。接地件135通过介电材料136与下电极131分离。
第一上电极111设置在下电极131上方的短距离处。一般而言,上电极111是由与基片相容的材料制成,以避免污染。第一上电极111为上电极组件110的一部分,其连接至接地件148并提供RF电源用的完整电路。第一上电极111所具有的表面积可与下电极131的表面积不同。上电极组件110耦合至经由接地件118接地的处理室罩盖117。通过示例性而非限制性的方式,第一上电极111由导电材料如硅或碳化硅构成。此外,通过示例性而非限制性的方式,第一上电极111位于距下电极约2cm至约3cm处。
围绕上电极111的是由感应线圈112构成的第二上电极112。感应线圈112埋置于介电材料113中。线圈112耦合至RF匹配器126,而RF匹配器126耦合至RF电源127。在一个实施例中,该RF电源127可供给混合频率能量。RF电源127的能量频率可为介于约400kHz至约27MHz范围间的单一或者多个频率。在一实施例中,用以产生电感性耦合等离子的能量介于约0瓦至约2000瓦之间。
法拉第防护罩114位于介电材料113下方。围绕介电材料113的是导电性区块115,其与法拉第防护罩114相接触以提供接地路径给来自下电极131的RF能量。法拉第防护罩114的外缘下方且围绕法拉第防护罩114的外缘的为凹形介电环116。在一实施例中,介电环116由石英构成。
法拉第防护罩114提供RF接地路径给来自任何电极的RF能量。法拉第防护罩114还帮助保持处理室中接地面积与供电面积间的面积比,以使电容性耦合等离子处理期间处理室中的压降不会随着感应线圈112的导入而改变。保持相同的面积比使得蚀刻处理即使在导入感应线圈112时仍然保持一致。此外,法拉第防护罩114阻挡了来自电感源的电场,以将电感等离子处理期间自感应线圈112的电容性耦合最小化,以避免处理室元件受到溅射。如何设计法拉第防护罩的详细描述可在递交于2002年8月30的共同受让的美国专利申请No.10/232,564,主题为“Faraday Shield Disposed within anInductively Coupled Plasma Etching Chamber”,以及递交于2003年1月15日的美国专利申请No.10/345,582,主题为“Dual InterleavedFaraday Shields For An Inductively Coupled Plasma Etching Chamber”中找到。在处理室清理期间,RF电源127供应线圈112电力以在区域150中产生电感性耦合等离子。在处理室清理期间,导电环133接地且下电极为浮动;因此,区域150中的电感性耦合等离子主要集中在感应线圈112与导电环133之间。
围绕第一上电极111与第二上电极112的是绝缘体120。绝缘体120下方的是等离子限制环121、122、123。应当注意:这里可以是一个或者多个等离子限制环。限制环121、122、123对处理室内所产生的等离子提供限制。在一实施例中,限制环121、122及123是由石英构成。在2004年6月1日公布的共同受让美国专利No.6,744,212,主题为“Plasma Processing Apparatus And Method ForConfining An RF Plasma Under Very High Gas Flow and RF PowerDensity Conditions”中以及在2005年3月29日公布的美国专利No.6,872,281,主题为“Chamber Configuration For Confining A Plasma”中可找到有关等离子限制环的详细描述。
气体输送件128连接至上电极组件110的中央。由气体输送件128供应入处理室100的气体可以为单一气体或者多种气体的气体混合物。在一实施例中,一旦气体到达上电极组件110后,气体输送件128从第一上电极111的中央以及边缘将气体供应至处理室。在一实施例中,第一上电极111也是气体分散喷头。通过示例性而非限制性的方式,总气体流是上至1500sccm。在一实施例中,上电极组件110也具有加热板(未图示),加热板可被用来将上电极111的温度维持在介于22℃至约200℃之间。
如前所述,上电极111经由接地件148接地。上电极111也可耦合至RF电源(未图示)或DC电源(未图示)。在第一上电极111与下电极131间的电容性耦合等离子所使用的电源可来自于耦合至第一上电极111的电源,而非耦合至下电极131。当自上电极111供应能量时,下电极131接地。此外,上和下电极可交替供给RF电源。例如,第一上电极111的RF电源也可具有2MHz、27MHz及60MHz的混合频率。
电感性等离子源可在外围区域150中产生高密度等离子却不会对处理室壁材料产生大幅的溅射。如先前所述,处理室壁材料的溅射会污染处理室或减少元件的寿命。电感性等离子源可有效清理沉积在与蚀刻处理等离子相接触的外围处理室硬件上的聚合物(或者蚀刻副产物)。
图1B显示了产生处理室清理等离子的工艺流程的实施例。在操作161,将处理室清理气体(如O2、CF4等)供给至等离子处理室。在操作163,将RF能量供给至等离子处理室100的感应线圈112,以产生处理室清理等离子。接着可使用处理室清理等离子来清理处理室。在处理室清理期间,下电极131浮动。区域150中的处理室清理等离子远离下电极131,并且主要被布置在图1A中的电感性电极112与导电环133之间。
在蚀刻处理期间,典型的平行板电容性耦合等离子在靠近基片(或晶片)边缘处显示了等离子密度下降。电感性等离子源提供径向均匀度控制调节器。在蚀刻处理期间可开启电感性等离子以在基片边缘提供额外的等离子密度而不影响电容性能量的RF接地路径。应当了解:由于使用法拉第防护罩,因此RF接地路径不会受到影响。此外,电感源等离子还可提供等离子给在基片上需要高导电密度但低粒子能量的工序,例如光刻胶剥除或低介电常数介电蚀刻。因此,使用电感源等离子可增加反应室的蚀刻工艺范围(process window)。
图1C显示了产生蚀刻等离子的工艺流程的实施例。在操作171,将蚀刻气体(例如高纵横比的接触蚀刻(HARC)用的Ar、C4F8以及O2,或氧化物蚀刻用的Ar、CF4、CHF3以及O2)供给至等离子处理室。在蚀刻气体进入处理室后,将RF能量供给至电容性电极以及电感性电极,以在操作173产生蚀刻等离子。所产生的蚀刻等离子具有电容性成分和电感性成分。如上所述,靠近基片边缘的电感性成分可增加基片边缘处的等离子密度,以补偿基片边缘处造成的等离子密度下降。因此,通过在基片边缘处添加电感性成分,可使蚀刻等离子在整个基片表面上变得均匀。
图2显示了图1A之前一实施例的100的变化200。两组感应线圈212A、212B设置在上电极组件210中。两组感应线圈由一内线圈212A以及一外线圈212B所构成。法拉第防护罩214设置在整个上电极211上,以覆盖内线圈212A和外线圈212B。法拉第防护罩214具有与上图1A所述的法拉第防护罩114类似的功能。两组线圈212A以及212B耦合至RF匹配器226,而RF匹配器226耦合至RF电源227。由于设置了两组线圈212A、212B,因此工艺气体经由中央气体输送体228B以及边缘气体输送体228A而供给至上电极211,该上电极211也可以为喷头。图2中的其他元件类似于图1A中已经描述的。
在处理室清理期间,可供电至两个感应线圈212A及212B或者仅供电至外线圈212B,以产生清理等离子。若在处理室清理期间供电至两个线圈,例如可将0%至50%百分比的能量供给内线圈,而将剩余能量(例如50%至100%)供给至外线圈112B。应注意:柔性电感等离子(soft inductive plasma)不会在清理期间损害静电卡盘。更应注意:额外的内感应线圈提供了处理室清理处理用的额外的处理调节器。可以不同频率或者混合频率来供电于内和外线圈。在此情况下,内和外线圈需要分离的电源。可增加额外的电源。
在一实施例中,区域250中的电感等离子通过下列方式产生:先将清理气体(或者清理气体混合物)供给至等离子处理室,接着通过将所有能量供给至线圈212B来供电至感应线圈212A以及212B。在处理室清理操作期间,可将下电极231保持浮动并将导电环233接地。
如上所述,电感等离子源可在外围区域中产生高密度等离子,不会对处理室壁材料产生任何大幅的溅射,这种溅射会污染处理室或者减少元件的寿命。电感等离子源可有效清理沉积在外围处理室硬件上的聚合物(或蚀刻副产物),该外围处理室硬件与蚀刻处理等离子相接触。
还可供电至感应线圈212A、212B的两者或者之一以及电容性等离子能量,以调整蚀刻等离子均匀度。除了在上电极211与下电极231之间产生电容性耦合等离子外,还可开启感应电源212A、212B以产生电感等离子,并可调整以提高蚀刻处理期间整个基片的等离子均匀度。在图1A中的感应线圈112及图2中的外线圈212B可帮助增加基片的边缘处的离子密度。还可同时使用图2的内线圈212A以及外线圈212B以及调整等离子密度。如上所述,可将某一个百分比的能量供给至内线圈212A并将剩余的能量供给至外线圈212B。在图2中内和外线圈212A、212B提供了蚀刻工艺用的额外的工艺调整调节器(tuning knob)。对于某些应用,仅使用来自感应线圈(内、外或者两者)的电感能量来产生电感等离子。
使用法拉第防护罩214,不会影响RF接地路径。此外,电感源等离子(inductive source plasma)可将等离子提供给在基片上需要高等离子密度以及极低离子能量的工序,如光刻胶剥除或低介电常数介电蚀刻。因此,使用两组感应线圈会增加蚀刻工序的工艺范围(process window)。除了单一感应电极为双感应电极(线圈212A、212B)所取代外,通过图2所示的实施例用以产生蚀刻等离子的工艺流程是类似于图1C的工艺流程。所产生的蚀刻等离子具有电容性以及电感性成分。可调整电感性成分以增加整个基片上的等离子均匀度。
本发明另一实施例300显示于图3A中。在图3A中,除了内部下电极331外,外部下电极335设置于导电环333之下方。内部下电极331用以支撑基片。外部下电极335可与内部下电极331耦合至相同的RF电源339,内部下电极331为下电极组件330的一部分。RF电源339可供应介于约400kHz至约60MHz范围间的单一频率或多频率。RF电源339将能量供给至内部下电极331以及外部下电极335,并连接至RF匹配器338。在一实施例中,开关336控制RF能量施加至电极。在基片蚀刻期间,开关336控制了待供给至内部下电极331的RF能量。在此处理期间,外部下电极335可耦合至接地件337或者RF调整区块套件(RF tuning block kit)357。RF调整区块套件357允许由电源339供给至内部下电极331的RF能量的某个频率选择性接地。例如,若被供给至内部下电极331的RF能量包含2MHz、27MHz及60MHz,通过RF调整区块套件437的选择性接地允许一个或者两个特定频率如60MHz接地,以调整蚀刻工序的均匀度。
还可使用分离的电源来同时或者交替供给能量于内部下电极331以及外部下电极335。图3A中的其他元件类似于图1A中已示出的。在处理室清理期间,将能量供给至外部下电极335,以在区域350中提供电容性耦合等离子以清理外围处理室。区域350中的电容性耦合等离子产生于上电极311与外部下电极335之间。在清理工序中,下电极可浮动,因此电容性耦合清理等离子及其破坏性的作用被维持在远离裸露内部下电极331(或静电卡盘)之处。依次,清理等离子不会轰击内部下电极(或静电卡盘),因此延长了内部下电极(或静电卡盘)的寿命。此外,当使用来自下电极331的电容性耦合等离子时不会像先前的清理技术一样产生许多粒子。
外围电容性等离子源在外围区域350中产生高密度等离子。应当注意:电容性等离子源可有效地清理沉积在外围处理室硬件上的聚合物(或蚀刻副产物),该外围处理室硬件与蚀刻处理等离子接触。
图3B显示产生处理室清理等离子的工艺流程的实施例。在操作361,将处理室清理气体(如O2、CF4等)供应至等离子处理室。在操作363,将RF能量供应至等离子处理室的外部下电极,以产生处理室清理等离子。接着可使用处理室清理等离子来清理处理室。在处理室清理期间,内部下电极保持浮动。在外围区域中的处理室清理等离子实质上远离下电极且主要设置在外部下电极与上电极之间。
此外,外围电容性等离子源提供了包含径向均匀度控制调节器的能力。在蚀刻处理期间可开启外围电容性等离子,以改善靠近主电容性耦合等离子用的电极边缘的蚀刻等离子均匀度。
图3C显示可产生蚀刻等离子的处理工艺的实施例。在操作371,将蚀刻气体(例如高纵横比的接触蚀刻(HARC)用的Ar、C4F8以及O2,或氧化物蚀刻用的Ar、CF4以及O2)提供至等离子处理室。在操作373,将RF能量供给至内部下电极(331)及外部下电极(335),以产生蚀刻等离子。应当注意:外部下电极帮助增加靠近基片边缘的等离子密度。
图4显示了本发明另一实施例。在图4中,由感应线圈所形成的第二下电极435设置在导电环433以及法拉第防护罩414下方。第二下电极435可耦合至第一下电极431处的相同RF电源。RF电源439将RF能量供应至第一下电极431或第二下电极435,并受到开关436的控制。RF电源439可以供应介于约400KHz至约60MHz范围的单一频率或者多个频率。RF电源439供应能量至内部下电极431及外部下电极335,且连接至RF匹配器438。在一实施例中,开关436控制了RF能量施加至电极。在基片蚀刻期间,开关436控制待供应至内部下电极431的RF能量。在此处理期间,可将外部下电极335耦合至接地件437或RF调整区块套件457。RF电源439能够供应介于约400kHz到约60MHz范围内的单一频率或者多个频率。RF电源439供应能量至该内部下电极431及该外部下电极435,且连接至RF匹配器(RFmatch)438。在一实施例中,开关436控制了RF能量施加至电极。在基片蚀刻期间,开关436控制待供应至内部下电极431的RF能量。在此处理期间,可将外部下电极435耦合至接地件437或RF调整区块套件457。
也可使用分离的RF电源以将RF能量提供于下电极431以及第二下电极435。在基片蚀刻期间,将能量供应至下电极431。图4的其他元件类似于图1A中已描述的。
在处理室清理期间,首先将清理气体供应至等离子处理室。之后,将能量供应至第二下电极435,以提供区域450中的电感性耦合等离子清理外围处理室硬件。区域450中的电感性耦合等离子产生于上电极411与第二下电极435之间,且主要出现于靠近处理室的边缘处。由于等离子靠近处理室的边缘处,且由电感源(低离子能量)所生成,因此等离子不会大幅地轰击下电极(或静电卡盘),从而延长了下电极或者静电卡盘的寿命。此外,等离子不会像来自第一下电极431的电容性等离子那样产生许多粒子。
如上所述,电感等离子源可在外围区域产生高密度等离子,却不会对处理室壁材料产生任何大幅的溅射,该溅射可污染处理室或者减少元件寿命。电感等离子可有效地清理沉积在外围处理室硬件(该外围处理室硬件与蚀刻处理等离子相接触)上的聚合物(或蚀刻副产物),却没有现有技术的危害作用。
在蚀刻处理期间,典型的平行板电容性耦合等离子在靠近处理室的边缘处显示了等离子密度降低。上述电感等离子提供径向均匀度控制调节器。在蚀刻处理期间可开启电感等离子,以在电极的边缘处提供额外的等离子密度,却不会影响主电容性能量的RF接地路径。此外,电感源等离子可提供等离子至在基片上需要高等离子密度以及极低离子能量的工序,例如,光刻剥除或者低介电常数的介电材料蚀刻。因此,使用额外的外围电极可增加蚀刻工序的工艺范围以及在蚀刻操作中更有效地清理处理室。
上述的等离子处理室对广泛的应用,如双道金属镶嵌(dualdamascene)多步骤工艺、高纵横比接触蚀刻(HARC)、剥除等提供了一系列的等离子密度、离子能量及化学品控制,以及结合了电容性及电感性等离子源的有效处理室清理。在一实施例中,有效的处理室清理可被应用至下一代的粒子控制,以提供成品率并延长蚀刻室中所使用的静电卡盘的寿命。
上述的等离子处理室提供调节器来控制基片上的处理参数的径向均匀度。使用多步骤制法的工艺应用涉及一系列的处理压力、RF能量以及化学品,这些参数产生大范围的中央至边缘的均匀度。现场控制调节器的可利用性对于使用了多步骤制法的工艺提供了随着特征尺寸持续缩小而能够维持严格均匀度控制的灵活性。
虽然为了清楚了解本发明,前面已就某些细节进行了叙述,但应注意:在所附权利要求的范围内可对本发明实行某些改变及修正。因此,本实施例应当看做说明性而非限制性,且本发明并不应受限于上述细节,在权利要求的范围及等同方式内可对本发明进行变化。

Claims (22)

1.一种等离子处理室,用以产生等离子,其包含:
下电极组件,具有内部下电极以及设置在该内部下电极外部的外部下电极,其中该内部下电极用以容纳基片,并且该外部下电极位于导电环下方,从而在基片处理过程中为等离子提供附加的接地路径,且该内部下电极和该导电环被介电环隔开,该内部下电极和该外部下电极在该等离子处理室的内部;以及
上电极组件,具有上电极,其中该上电极设置在该内部及外部下电极的正上方;
其中该外部下电极及该上电极用以将第二气体转变为第二等离子,其远离该内部下电极并且主要设置在该外部下电极和该上电极之间的周边区域以清理该等离子处理室的周边室硬件。
2.根据权利要求1所述的等离子处理室,其中该内部下电极及该上电极用以将第一气体转变为第一等离子。
3.根据权利要求1所述的等离子处理室,其中该外部下电极由感应线圈所构成,而该第二等离子为电感性耦合等离子。
4.根据权利要求3所述的等离子处理室,进一步包含:
法拉第防护罩,设置在该感应线圈的上方。
5.根据权利要求1所述的等离子处理室,进一步包括:
多个限制环,围绕该上电极组件及该下电极组件,该多个限制环悬置而平行于该等离子处理室内的该下电极。
6.根据权利要求1所述的等离子处理室,其中第一RF电源连接至内部下电极,通过供应具有范围介于400KHz至60MHz的单一频率或者多频率的RF能量,以利用该上电极而产生第一等离子。
7.根据权利要求6所述的等离子处理室,其中RF电源连接至该外部下电极,通过供应具有范围介于400KHz至27MHz的单一频率或多频率的RF能量,以产生第二等离子。
8.根据权利要求1所述的等离子处理室,其中该内部下电极及该外部下电极连接至一RF电源,而电源开关用以控制来自该RF电源的RF能量交替供应至该内部及外部下电极。
9.根据权利要求1所述的等离子处理室,其中该外部下电极连接至一开关,该开关能在接地件与RF调整区块套件之间切换,其中该RF调整区块套件可有选择地将单一RF频率或者多RF频率接地。
10.根据权利要求1所述的等离子处理室,其中该外部下电极为电容式电极。
11.根据权利要求2所述的等离子处理室,其中在该内部下电极及该上电极将该第一气体转变为该第一等离子时也供电给该外部下电极,以增加靠近该基片的边缘的该第一等离子的密度。
12.根据权利要求11所述的等离子处理室,其中该第一等离子为用来蚀刻该基片的蚀刻等离子。
13.根据权利要求1所述的等离子处理室,其中该第二等离子为处理室清理等离子,且实质上被布置在该内部下电极的表面外部。
14.一种等离子处理室,用以产生等离子,其包含:
下电极组件,包含内部下电极及围绕该内部下电极的外部下电极,其中该内部下电极用以容纳基片,并且该外部下电极位于导电环下方,从而在基片处理过程中为等离子提供附加的接地路径,且该内部下电极和该导电环被介电环隔开,该内部下电极和该外部下电极在该等离子处理室的内部;以及
上电极组件,包含位于该内部及外部下电极上方一距离处的上电极,该上电极限定与该内部下电极及该外部下电极的至少一部分相关的区域,其中该内部下电极及该上电极用以在介于该下电极组件与该上电极组件间所限定的空腔的第一区域内将第一气体转变为第一电容性耦合等离子,而该外部下电极及该上电极用以在该空腔的第二区域内将第二气体转变为第二电容性耦合等离子。
15.根据权利要求14所述的等离子处理室,进一步包含:
多个限制环,围绕一体积,该第一等离子或该第二等离子实质上布置于该体积内,其中该多个限制环悬置而平行于该等离子处理室的该内部下电极。
16.根据权利要求14所述的等离子处理室,其中该外部下电极连接至一开关,该开关能在接地件与RF调整区块套件之间切换,其中该RF调整区块套件可有选择地将单一RF频率或者多RF频率接地。
17.根据权利要求14所述的等离子处理室,其中该内部下电极及该上电极将第一气体转变为第一电容性耦合等离子时也供电给该外部下电极,以增加靠近该基片的边缘的该第一电容性耦合等离子的密度。
18.一种在等离子处理室中产生等离子的方法,包含下列步骤:
将处理气体供应至该等离子处理室中;以及
通过供电给外部下电极来产生等离子,该外部下电极为下电极组件的一部分且围绕该等离子处理室中的下电极组件的内部下电极的周边,其中该外部下电极位于导电环下方,从而在基片处理过程中为等离子提供附加的接地路径,并且其中该内部下电极和该导电环被介电环隔开,该内部下电极和该外部下电极在该等离子处理室的内部。
19.根据权利要求18所述的方法,其中在产生等离子的步骤期间所生成的等离子是处理室清理等离子,该处理室清理等离子实质上布置于该内部下电极的表面外部。
20.根据权利要求18所述的方法,其中在产生等离子的步骤期间,该内部下电极保持浮动。
21.根据权利要求18所述的方法,其中通过供电给外部下电极来产生等离子的步骤包括避免电能到达该内部下电极。
22.根据权利要求18所述的方法,其中在产生等离子的步骤期间,该上电极在该等离子生成步骤期间接地。
CN200780005750.6A 2006-02-15 2007-02-15 具有多个电容性和电感性电源的等离子处理反应器 Active CN101557885B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/355,458 US8012306B2 (en) 2006-02-15 2006-02-15 Plasma processing reactor with multiple capacitive and inductive power sources
US11/355,458 2006-02-15
PCT/US2007/004235 WO2007095388A2 (en) 2006-02-15 2007-02-15 Plasma processing reactor with multiple capacitive and inductive power sources

Publications (2)

Publication Number Publication Date
CN101557885A CN101557885A (zh) 2009-10-14
CN101557885B true CN101557885B (zh) 2015-03-11

Family

ID=38367027

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200780005750.6A Active CN101557885B (zh) 2006-02-15 2007-02-15 具有多个电容性和电感性电源的等离子处理反应器

Country Status (10)

Country Link
US (3) US8012306B2 (zh)
EP (1) EP1993745B1 (zh)
JP (3) JP5336199B2 (zh)
KR (2) KR101455954B1 (zh)
CN (1) CN101557885B (zh)
AT (1) ATE552923T1 (zh)
MY (1) MY151896A (zh)
SG (1) SG169982A1 (zh)
TW (1) TWI354309B (zh)
WO (1) WO2007095388A2 (zh)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US20120088371A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104217914B (zh) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6574547B2 (ja) 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN103752562A (zh) * 2014-02-13 2014-04-30 苏州众显电子科技有限公司 一种利用等离子清洗机洗液晶显示屏基板工艺
CN205741208U (zh) * 2015-09-16 2016-11-30 应用材料公司 用于改进的等离子体处理腔室的系统和设备
CN106548914B (zh) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 一种等离子体处理设备及其清洗系统和方法
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
US10777385B2 (en) 2016-07-14 2020-09-15 Tokyo Electron Limited Method for RF power distribution in a multi-zone electrode array
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN107610999A (zh) * 2017-08-28 2018-01-19 北京北方华创微电子装备有限公司 下电极机构及反应腔室
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
TWI697261B (zh) * 2018-05-22 2020-06-21 呈睿國際股份有限公司 感應耦合電漿蝕刻系統及其切換式匹配裝置
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US10588212B1 (en) 2019-05-22 2020-03-10 Georges J. Gorin Plasma initiation in an inductive RF coupling mode
CN112103163B (zh) * 2019-06-17 2022-06-17 北京北方华创微电子装备有限公司 下电极装置及相关等离子体系统
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
CN110867406A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN114496693A (zh) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 多区加热装置、下电极组件、等离子处理装置及调温方法
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230317411A1 (en) * 2022-03-13 2023-10-05 Applied Materials, Inc. Radio frequency source for inductively coupled and capacitively coupled plasmas in substrate processing chambers
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6719875B1 (en) * 1998-07-24 2004-04-13 Tadahiro Ohmi Plasma process apparatus
WO2005022623A1 (en) * 2003-08-22 2005-03-10 Lam Research Corporation High aspect ratio etch using modulation of rf powers of various frequencies
CN1675737A (zh) * 2002-06-27 2005-09-28 拉姆研究有限公司 电极同时响应于多频的等离子体处理器

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3678612D1 (de) 1985-05-20 1991-05-16 Tegal Corp Plasmareaktor mit entnehmbarem einsatz.
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JP2554896B2 (ja) 1987-10-01 1996-11-20 セイコーエプソン株式会社 プラズマcvd装置
JPH0364460A (ja) 1989-07-31 1991-03-19 Hitachi Ltd 薄膜形成装置
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP4084335B2 (ja) * 1996-03-01 2008-04-30 株式会社日立製作所 プラズマエッチング処理装置
JPH09260098A (ja) * 1996-03-19 1997-10-03 Fujitsu Ltd プラズマ処理装置
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
JPH10242134A (ja) 1997-02-28 1998-09-11 Sharp Corp プラズマcvd装置
JPH10251849A (ja) 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
JPH10326772A (ja) * 1997-05-26 1998-12-08 Ricoh Co Ltd ドライエッチング装置
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
JP2000021598A (ja) * 1998-07-02 2000-01-21 Sony Corp プラズマ処理装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
JP3586197B2 (ja) * 2000-03-23 2004-11-10 シャープ株式会社 薄膜形成用プラズマ成膜装置
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
JP2003155569A (ja) * 2001-11-16 2003-05-30 Nec Kagoshima Ltd プラズマcvd装置及びそのクリーニング方法
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
JP2004349171A (ja) 2003-05-23 2004-12-09 Cataler Corp 固体高分子型燃料電池用触媒層
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP4456412B2 (ja) * 2004-05-27 2010-04-28 株式会社日立製作所 プラズマ処理装置
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US20060278339A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US6719875B1 (en) * 1998-07-24 2004-04-13 Tadahiro Ohmi Plasma process apparatus
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
CN1675737A (zh) * 2002-06-27 2005-09-28 拉姆研究有限公司 电极同时响应于多频的等离子体处理器
WO2005022623A1 (en) * 2003-08-22 2005-03-10 Lam Research Corporation High aspect ratio etch using modulation of rf powers of various frequencies

Also Published As

Publication number Publication date
KR101391006B1 (ko) 2014-04-30
EP1993745A4 (en) 2010-03-17
KR20080094794A (ko) 2008-10-24
JP2012212916A (ja) 2012-11-01
WO2007095388A2 (en) 2007-08-23
EP1993745B1 (en) 2012-04-11
EP1993745A2 (en) 2008-11-26
US20070186855A1 (en) 2007-08-16
CN101557885A (zh) 2009-10-14
ATE552923T1 (de) 2012-04-15
JP5336199B2 (ja) 2013-11-06
JP2009527128A (ja) 2009-07-23
JP5470421B2 (ja) 2014-04-16
US8012306B2 (en) 2011-09-06
JP5518174B2 (ja) 2014-06-11
KR20130124394A (ko) 2013-11-13
TW200811905A (en) 2008-03-01
SG169982A1 (en) 2011-04-29
US20120279659A1 (en) 2012-11-08
KR101455954B1 (ko) 2014-10-31
MY151896A (en) 2014-07-14
JP2013080956A (ja) 2013-05-02
US8906197B2 (en) 2014-12-09
TWI354309B (en) 2011-12-11
US20110277784A1 (en) 2011-11-17
WO2007095388A3 (en) 2007-12-13
US8337623B2 (en) 2012-12-25

Similar Documents

Publication Publication Date Title
CN101557885B (zh) 具有多个电容性和电感性电源的等离子处理反应器
CN101426949B (zh) 用于等离子蚀刻室的集成的电容性和电感性电源
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
CN101043784B (zh) 混合等离子体反应器
EP1573795B1 (en) A system and method for controlling plasma with an adjustable coupling to ground circuit
KR101513752B1 (ko) 기판 프로세싱을 위한 방법 및 장치
KR20080106427A (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
WO2006135909A1 (en) Confined plasma with adjustable electrode area ratio
KR100786537B1 (ko) 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant