JP5028430B2 - プラズマ処理チャンバの選択的プレコーティングのための方法及び装置 - Google Patents

プラズマ処理チャンバの選択的プレコーティングのための方法及び装置 Download PDF

Info

Publication number
JP5028430B2
JP5028430B2 JP2008557502A JP2008557502A JP5028430B2 JP 5028430 B2 JP5028430 B2 JP 5028430B2 JP 2008557502 A JP2008557502 A JP 2008557502A JP 2008557502 A JP2008557502 A JP 2008557502A JP 5028430 B2 JP5028430 B2 JP 5028430B2
Authority
JP
Japan
Prior art keywords
electrodes
plasma
precoat
gas
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008557502A
Other languages
English (en)
Other versions
JP2009529225A (ja
JP2009529225A5 (ja
Inventor
フィッシャー,アンドリアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009529225A publication Critical patent/JP2009529225A/ja
Publication of JP2009529225A5 publication Critical patent/JP2009529225A5/ja
Application granted granted Critical
Publication of JP5028430B2 publication Critical patent/JP5028430B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、一般に、基板製造技術に関し、及び具体的には、プラズマ処理チャンバの選択的プレコーティングのための方法及び装置に関する。
基板、例えば、半導体基板、又は、フラットパネルディスプレイ製造で使用される基板等のガラスパネルの処理においては、たいていプラズマが用いられる。例えば、プラズマチャンバ内での基板の処理の一部として、該基板は、複数のダイ又は矩形領域に分割され、それぞれのダイ又は領域は、集積回路になる。そして、該基板は、該基板上に電気部品を形成するために、材料物質が選択的に除去(エッチング)され、堆積(堆積)される一連のステップにおいて処理される。
プラズマ処理を最適化するために、プラズマチャンバ内の多くの面は、基板にも影響を及ぼす可能性のある汚染物質を実質的に増加させることなく、表面摩耗を最小限に抑えるのに役に立つ耐プラズマ材料(例えば、シリコン、炭化ケイ素、窒化シリコン、石英、等)でさらに構成される。しかし、プラズマシースに連続的に曝露されると、保護材料がエッチングされ、最終的には除去されてしまい、多くの場合、表面粒子が汚染され、従って、基板の歩留まりが低下する。
一般的に、プラズマシースは、プラズマエッジからの荷電粒子(例えば、イオン等)を加速させて、プラズマチャンバ内の面にぶつける傾向がある。最終的には、処理チャンバ面は、腐食する可能性があることから、プラズマ処理に対する長期的な安定性を確保するために取り替える必要性がある。その結果として、前記プラズマを生成するのに用いられる化学的性質、処理圧力、及び電力量により、かなりの稼働コスト及び休止時間が基板製造プロセスに追加される。
上記の観点から、プラズマ処理チャンバの選択的プレコーティングのための望ましい方法及び装置が求められている。
本発明は、一実施形態において、チャンバ壁部を含むプラズマ処理チャンバを選択的にプレコーティングする装置に関する。該装置は、RF電極から成る第1のセットを含み、RF電極から成る第1のセットは、第1のプレコートプラズマをぶつけるように構成され、RF電極から成る第1のセットは、第1のプラズマチャンバゾーンを画成する。また、該装置は、RF電極から成る第1のセットの周囲に配置された閉じ込めリングから成る第1のセットと、閉じ込めリングから成る第1のセットとチャンバ壁との間に配置された閉じ込めリングから成る第2のセットとを含む。該装置はさらに、第1のプレコートガスが供給され、かつRF電極から成る第1のセットに電圧が印加されたときに、第1のプラズマゾーンに第1のプレコート層を施すように構成されたガス供給システムを含む。
本発明は、一実施形態において、チャンバ壁を含むプラズマ処理チャンバを選択的にプレコーティングする装置に関する。該装置は、RF電極から成る第1のセットを含み、RF電極から成る第1のセットは、第1のプレコートプラズマをぶつけるように構成され、RF電極から成る第1のセットは、第1のプラズマチャンバゾーンを画成する。また、該装置は、RF電極から成る第2のセットを含み、RF電極から成る第2のセットは、第2のプレコートプラズマをぶつけるように構成され、RF電極から成る第2のセットは、第2のプラズマチャンバゾーンを画成する。該装置はさらに、RF電極から成る第1のセットと、RF電極から成る第2のセットとの間に配置された閉じ込めリングから成る第1のセットと、RF電極から成る第2のセットとチャンバ壁との間に配置された閉じ込めリングから成る第2のセットとを含む。また、該装置は、第1のプレコートガスが供給され、RF電極から成る第1のセットに電圧が印加されたときに、第1のプラズマゾーンをプレコートするように構成されたガス供給システムを含み、ガス供給システムはさらに、該プレコートガスが供給され、かつRF電極から成る第2のセットに電圧が印加されたときに、第2のプラズマゾーンをプレコートするように構成されている。
本発明は、一実施形態において、チャンバ壁を含むプラズマ処理チャンバを選択的にプレコーティングする方法に関する。該方法は、第1のプレコートプラズマをぶつけるように、RF電極から成る第1のセットを構成することであって、RF電極から成る第1のセットが第1のプラズマチャンバゾーンを画成することを含む。また、該方法は、RF電極から成る第1のセットの周囲に、閉じ込めリングから成る第1のセットを構成することと、閉じ込めリングから成る第1のセットとチャンバ壁との間に、閉じ込めリングから成る第2のセットを構成することとを含む。該装置はさらに、第1のプレコートガスが供給され、かつRF電極から成る第1のセットに電圧が印加されたときに、第1のプラズマゾーンに第1のプレコート層を施すガス供給システムを構成することを含む。
本発明のこれら及び他の特徴は、本発明の詳細な説明において、及び添付図面に関連して、以下に詳細に記載されている。
本発明は、添付図面に例証として、及び限定するためではなく、図示されており、また、添付図面においては、同様の参照数字は、同様の要素を指す。
次に、本発明を、添付図面に示されているような本発明のいくつかの好適な実施形態に関して詳細に説明する。以下の説明においては、多くの具体的な詳細は、本発明の完全な理解をもたらすために記載されている。しかし、当業者には、それらの具体的な詳細の一部又は全てを要することなく本発明を実施することができることは明らかであろう。他の例においては、周知の処理ステップ及び/又は構造は、本発明を無用に分かりにくくしないために、詳細に記載されてはいない。理論に制約されたくないが、本発明者等は、プラズマ表面ダメージ及びプラズマチャンバ内の汚染は、まず、実質的に分離し、その後、最適化されたプレコート材料で面のセットを選択的にプレコーティングすることによって低減することができると考える。一般に、最も有利なプレコート材料は、プラズマに曝されたときに、典型的には揮発性になる物質(例えば、シリコン、アモルファスシリコン、窒化シリコン、二酸化ケイ素、炭化ケイ素、炭化水素ガス、C46、C48、CH3F等)を含む。
一実施形態において、プレコートは、一連の基板のプラズマ処理の間に追加することができる。一実施形態においては、各領域又はゾーン内の予め堆積された層の厚さは、少なくとも1つの基板の後のエッチングに耐えるのに十分な厚さにすべきである。一実施形態において、各領域又はゾーン内の予め堆積された層の厚さは、例えば、基板カセットを処理する場合、いくつかの基板の後のエッチングに耐えるのに十分な厚さにすべきである。一実施形態においては、多数の予め堆積される層が、各領域又はゾーン内に堆積される。一実施形態において、エッチングサイクルの完了後に、全てのプレコートされた層は、プレコーティングを再び開始できるように、ドライ洗浄工程において除去することができる。
例えば、容量結合されたソースの上部接地電極は、基底の接地面をプラズマ処理から保護するシリコン(例えば、単結晶シリコン又はアルミニウム等)から成る保護層で構成することができる。その結果として、基板をエッチングする前に、シリコン保護層に適合する材料(例えば、シリコン、アモルファスシリコン、炭化ケイ素、窒化シリコン等)で接地電極をプレコーティングすると、汚染が実質的に低減されるとともに、上部接地電極の作動寿命が延びる。
別の実施例においては、誘導結合されたソースの下部接地電極は、基底の接地面(例えば、アルミニウム)をプラズマ処理から保護する石英から成る保護被覆で既に構成することができる。その結果として、基板をエッチングする前に、石英に適合する材料(例えば、炭化水素等)で石英被覆をプレコーティングすると、汚染が実質的に低減されるとともに、石英被覆の作動寿命が延びる。
一実施形態において、プラズマチャンバは、各々が異なる直径を有する複数の同心閉じ込めリングのセットを備える。特定の閉じ込めリングセットを昇降させることにより、適切なプレコートプラズマを、プラズマチャンバの特定の領域に分離することができる。
一実施形態において、基板をエッチングするのに用いられるプラズマは、ディファレンシャルプラズマである。すなわち、複数のエネルギ源によって持続されるプラズマである。例えば、誘電体エッチングシステムにおいては、主にイオンエネルギを制御する容量結合プラズマ源と、主にプラズマ密度を制御する誘導結合プラズマ源を、実質的な径方向のプラズマ均一性及び径方向エッチング均一性で基板をエッチングするために組み合わせることができる。
一般に、容量結合プラズマ源は、基板の中心の上下に配置された電極プレート(例えば、作動電極、接地電極等)から成るセットで構成することができる。典型的には、作動電極は、静電チャック(チャック)としても構成されており、該基板は、プラズマ処理の間、この静電チャック上に置かれる。同様に、接地電極は、典型的には、シャワーヘッドとして構成される。
誘導結合プラズマ源は、誘導コイルから成るセットと、基板の周囲の上下に配置された接地リングとで構成することができる。一実施形態において、内側の閉じ込めリングのセットは、容量結合プラズマと誘導結合プラズマ源との間に配置され、また、外側の閉じ込めリングは、誘導結合プラズマ源と、プラズマチャンバ壁との間に配置することができる。
次に、図1を参照すると、本発明の実施形態による、二重閉じ込めリングセットを有するディファレンシャルプラズマ処理チャンバの略図が示されている。一般に、ディファレンシャルプラズマを持続させるために、容量結合プラズマ(capacitively coupled plasma:CCP)ソースは、イオンエネルギを制御するように構成され、また、誘導結合プラズマ(inductively coupled plasma:ICP)ソースは、プラズマ密度を制御するように構成される。
典型的なCCPソースの構成は、一般に、わずかな距離だけ離された2つの金属電極から成り、電気回路におけるコンデンサと同様の原理で作動する。第1の作動電極102は、典型的には、チャックとして構成される。また、第2の接地電極108は、典型的には、シャワーヘッドアセンブリ106の一部として構成され、該アセンブリは、一般に、プラズマガスがガス供給システム(図示せず)からプラズマチャンバ内へ流れることを可能にする、穿孔されたシリコンから成る保護層も含む。さらに、基板104は、一般に、チャック/接地電極102上のエッジリング120と共に配置される。
同様に、典型的なICPソースの構成は、一般に、誘導コイル112から成るセット及び、接地リング116から成る。誘導コイル112から成るセットは、典型的には、石英ウィンドウ113を介して高周波エネルギをプラズマガス中に放射するように構成されており、この構成が、生成されたプラズマ(図示せず)に対する保護シールドとなる。同様に、接地リング116も保護石英層で構成されており、典型的には、基板102の周囲の下に配置されている。石英は、生成されたRF波に対して、本質的に透過性である。加えて、典型的には、各高周波発生器のインピーダンスを、プラズマによって部分的に形成される負荷のインピーダンスに整合しようとするマッチングネットワーク(図示せず)が、CCPソース及びICPソースの両方に結合されている。
加えて、内側の閉じ込めリングセット118を、上記CCPソースとICPソースとの間に配置することができ、さらには、必要に応じて、プラズマチャンバの特定の領域にプラズマを隔離及び/又は導くために、昇降させることができる。例えば、内側の閉じ込めリングセット118が上方位置に位置しており、かつCCPソース及び/又はICPソースが、プラズマガスから成るセットを活性化している場合、その結果として生じるプラズマは、外側の閉じ込めリングセット114の方へ向かって、基板104の全域に及ぶことが可能である。
対照的に、内側の閉じ込めリングセット118が下方位置(図示せず)に位置しており、かつCCPソースが、プラズマガスから成るセットを活性化している場合は、プラズマは、内側の閉じ込めリングセットの直径によって画成される領域に閉じ込めることができる。
しかし、内側及び外側の閉じ込めリングセット118及び114が下方位置(図示せず)に位置しており、かつICPソースが、プラズマガスから成るセットを活性化している場合には、プラズマは、内側閉じ込めリングセット118及び外側閉じ込めリングセット114の両方によって画成される領域(例えば、円錐曲線回転面)に閉じ込めることができる。
別法として、内側及び外側閉じ込めリングセット118及び114が下方位置(図示せず)に位置しており、かつCCP及びICPソースの両方が、プラズマガスから成るセットを活性化している場合には、第1のプラズマは、内側閉じ込めリングセットの直径によって画成される領域に閉じ込めることができ、また、第2のプラズマは、内側閉じ込めリングセット118及び外側閉じ込めリングセット114の両方によって画成される領域に閉じ込めることができる。
典型的には、各閉じ込めリングセットは、基板の水平方向周辺に配置され、さらに、通常はカムリングを用いて、垂直軸に沿って該基板の上の可変距離に配置された一連の石英リングとして構成される。一般に、各閉じ込めリングの厚さ、及びいずれかの2つのリング間の間隙のサイズは、特定のプラズマ処理を最適化し、かつプラズマ中の圧力を制御するために構成される。いくつかの構成においては、閉じ込めリングは、直径及び厚さが異なっている。例えば、垂直軸に沿って基板近傍に配置された閉じ込めリングは、基板からより遠いものに対して直径を小さくすることができる。
次に、図2を参照すると、図1のディファレンシャルプラズマ処理チャンバの略図が示されており、ICPコイルの下の領域は、本発明の実施形態に従って、炭化水素から成るセット又は二酸化ケイ素のいずれかでプレコートされている。前述したように、誘導コイル112から成るセット及び接地リング116は共に、典型的には、石英面から成るセットによって、プラズマから保護される。有利な方法においては、基板を処理する前に、それらの石英面のかなりの部分を、炭化水素層又は二酸化ケイ素層でプレコートすることができ、その結果、基底の石英面ではなく、炭化水素層又は予め堆積された二酸化ケイ素層を、プラズマ処理中にエッチングすることができる。一実施形態において、炭化水素プレコート又は二酸化ケイ素層の厚さは、約1.5μmである。
炭化水素は、一般に、Cxyzで化学的に表すことができるテフロン(登録商標)のような物質であり、ただし、xは、0より大きい整数であり、また、y及びzは、0以上の整数である(例えば、C46、C48、CH3F等)。石英は、シリコン酸化物であり、SiO2で化学的に表される。このテフロン(登録商標)のような、又は二酸化ケイ素のプレコートは、ファンデルワールス力によって石英表面に物理的に付着され、この被膜と石英の間には、一般に、化学反応が起きる必要性はない。
石英面をプレコーティングする一つの方法においては、内側閉じ込めリングセット118及び外側閉じ込めリングセット114は、共に下げられている。そして、炭化水素ガスが、シャワーヘッド106を介してディファレンシャルプラズマチャンバ内に供給され、その結果として、内側閉じ込めリングセット118及び外側閉じ込めリングセット114によって画成された流路内に入り込む。一実施形態において、この炭化水素は、フッ素化炭化水素ガスである。従って、誘導コイル112から成るセットに電圧を印加すると、炭化水素のプレコートを石英面に堆積させることができる。このプレコート処理中に基板を保護するために、典型的には、被覆又はダミー基板124が作動電極102の上に置かれる。
次に、図3を参照すると、図2のディファレンシャルプラズマ処理チャンバの略図が示されており、シャワーヘッドが、本発明の実施形態によるシリコンを含む材料でプレコートされている。上述したように、シャワーヘッドアセンブリ106は、プラズマガスが、ガス供給システム(図示せず)からプラズマチャンバ内に流れることを可能にする、穿孔されたシリコンから成る保護層を有する接地電極108を保護する。一実施形態において、シリコンプレコート層の厚さは、約4.0μmである。
有利な方法においては、基板を処理する前に、シャワーヘッドのかなりの部分は、シリコンを含む材料(例えば、シリコン、窒化シリコン、炭化ケイ素等)でプレコートされる。一実施形態において、シリコンを含む材料は、アモルファスシリコンである。一般に、アモルファスシリコンは、放電を用いることにより、シランガスから堆積することができる。一般に、堆積されたアモルファスシリコンは、各層の原子間の緊密な格子整合のため、基底のシャワーヘッドの単結晶シリコンによく付着する。
シャワーヘッドをプレコーティングする一つの方法においては、内側閉じ込めリングセット118及び外側閉じ込めリングセット114の両方が下げられている。そして、ガスを含むシリコンが、シャワーヘッド106を介してディファレンシャルプラズマチャンバ内に供給されてプラズマチャンバ内に入る。この結果、作動電極102に電圧を印加して、シリコンのプレコートをシャワーヘッド上に堆積させることができる。このプレコート処理中に基板を保護するために、典型的には、被覆又はダミー基板124が作動電極102の上に置かれる。
次に、図4を参照すると、図3のディファレンシャルプラズマ処理チャンバの略図が示されており、本発明の実施形態に従って、基板がエッチングされている。例えば、Lam Research 2300 Exelan Flexプラズマ処理システムにおいては、共通のエッチングレシピは、2000W(27MHz)/3000W(2MHz)、300sccmのAr、20sccmのC48、20sccmのO2、45mTorrとすることができる。上述したように、シャワーヘッド106、石英ウィンドウ113及び接地リング116のかなりの部分はプレコートされ、その結果、これらの面は、エッチング処理の間、保護される。一般に、内側閉じ込めリングセット118は、ディファレンシャルプラズマ124が、外側閉じ込めリング114の方へ向かって基板104の全域に及ぶことを可能にするために、高い位置にすることができる。例えば、基板は、誘電体層が、ホールを介して導電プラグフィリングによって電気的に接続されるデュアルダマシンプロセスの一部としてエッチングすることができる。
次に、図5を参照すると、本発明の実施形態による、チャンバ壁を含むプラズマ処理チャンバを選択的にプレコーティングするステップから成る単純化したセットが示されている。最初に、ステップ502において、RF電極から成る第1のセットは、第1のプレコートプラズマをぶつけるように構成され、RF電極から成る第1のセットは、第1のプラズマチャンバゾーンを画成する。次に、ステップ504において、閉じ込めリングから成る第1のセットが、RF電極から成る第1のセットの周囲に構成される。次いで、ステップ506において、閉じ込めリングから成る第2のセットが、閉じ込めリングから成る第1のセットとチャンバ壁との間に構成される。
また、一実施形態においては、RF電極から成る第2のセットが、閉じ込めリングから成る第1のセットと、閉じ込めリングから成る第2のセットとの間に構成される。一実施形態において、RF電極から成る第2のセットは、第2のプレコートプラズマをぶつけるように構成され、RF電極から成る第2のセットは、第2のプラズマチャンバゾーンを画成する。
最後に、ステップ508において、ガス供給システムは、第1のプレコートガスが供給されて、RF電極から成る第1のセットに電圧が印加されたときに、第1のプラズマゾーンに第1のプレコート層を施すように構成される。例えば、第1のプレコートガスは、シリコン、アモルファスシリコン、窒化シリコン及び炭化ケイ素のうちの1つを含むことができる。一実施形態において、ガス供給システムは、第2のプレコートガスが供給されて、RF電極から成る第2のセットに電圧が印加されたときに、第2のプラズマゾーンに第2のプレコート層を施すように構成される。例えば、第2のプレコートガスは、炭化水素ガスを含むことができる。
一実施形態において、RF電極から成る第1のセットは容量結合されており、RF電極から成る第2のセットは、誘導結合されている。一実施形態において、RF電極から成る第1のセットは誘導結合されており、RF電極から成る第2のセットは、容量結合されている。一実施形態においては、RF電極から成る第1のセット及びRF電極から成る第2のセットは共に容量結合されている。一実施形態においては、RF電極から成る第1のセット及びRF電極から成る第2のセットは共に誘導結合されている。一実施形態においては、閉じ込めリングから成る第1のセット及び閉じ込めリングから成る第2のセットの少なくとも一方は、移動可能に構成される。
この発明を、いくつかの好適な実施形態に関して説明してきたが、この発明の範囲内にある変更、置換及び等価がある。例えば、本発明を、Lam Research社のプラズマ処理システム(例えば、Exelan(商標)、Exelan(商標) HP、Exelan(商標) HPT、2300 Exelan Flex(商標)、Versys(商標) Star等)に関連して説明したが、他のプラズマ処理システムを用いてもよい。また、この発明は、様々な直径(例えば、200mm、300mm等)の基板に対して用いることもできる。また、酸素以外のガスを含むフォトレジストプラズマエッチャントを用いてもよい。また、本発明の方法を実施する多くの代替的方法があることに留意すべきである。
本発明の効果は、プラズマ処理チャンバの選択的プレコーティングのための方法及び装置を含む。追加的な効果は、プラズマチャンバ内の面を保護することと、汚染を最小限にすることと、製造プロセスを単純化することと、基板の歩留まりを改善することとを含む。
例示的な実施形態及び最良の態様を開示したが、以下のクレームによって定義される本発明の主題及び趣旨の範囲内で、開示した実施形態に対して、変更及び変形を行うことができる。
本発明の実施形態による二重閉じ込めリングセットを有するディファレンシャルプラズマ処理チャンバの略図を示す。 本発明の実施形態による、ICPコイルの下の領域が、炭化水素から成るセットでプレコートされているディファレンシャルプラズマ処理チャンバの略図を示す。 本発明の実施形態による、シャワーヘッドが、シリコンを含む材料でプレコートされている図2のディファレンシャルプラズマ処理チャンバの略図を示す。 本発明の実施形態による、基板がエッチングされている図3のディファレンシャルプラズマ処理チャンバの略図を示す。 本発明の実施形態による、チャンバ壁を含むプラズマ処理チャンバを選択的にプレコーティングするステップの単純化したセットを示す。

Claims (27)

  1. チャンバ壁を含むプラズマ処理チャンバを選択的にプレコーティングする装置であって、
    第1のプレコートプラズマを発生させるように構成され、第1のプラズマチャンバゾーンを画成するRF電極から成る第1のセットと、
    前記RF電極から成る第1のセットの周囲に配置された上下に移動可能な内側閉じ込めリングと、
    前記内側閉じ込めリングチャンバ壁との間に配置された上下に移動可能な外側閉じ込めリング
    前記内側閉じ込めリングと前記外側閉じ込めリングとの間に配置されたRF電極から成る第2のセットであって、第2のプレコートプラズマを発生させるように構成され、第2のプラズマチャンバゾーンを画成するRF電極から成る前記第2のセットと、
    第1のプレコートガスが供給され、RF電極から成る前記第1のセットに電圧が印加されたときに、前記第1のプラズマゾーンに第1のプレコート層を施すと共に、第2のプレコートガスが供給され、RF電極から成る前記第2のセットに電圧が印加されたときに、前記第2のプラズマゾーンに第2のプレコート層を施すように構成されたガス供給システムと、を備え、
    前記第1のプレコート層を施すときに前記内側閉じ込めリングは下げられており、他方、前記第2のプレコート層を施すときに前記内側閉じ込めリング及び外側閉じ込めリングの両方が下げられている、ことを特徴とする装置。
  2. RF電極から成る前記第1のセットは、容量結合されたRF電極又は誘導結合されたRF電極から成る請求項に記載の装置。
  3. 容量結合されたRF電極から成る前記第1のセットは、作動電極及び接地電極を含む、請求項に記載の装置。
  4. 前記接地電極は、シリコンを含む材料で形成される、請求項に記載の装置。
  5. 誘導結合されたRF電極から成る前記第1のセットは、石英バリアよって前記第2のプレコートガスから保護される、請求項に記載の装置。
  6. 誘導結合されたRF電極から成る前記第1のセットが、誘導コイル及び接地電極を含む、請求項に記載の装置。
  7. RF電極から成る前記第2のセットは、容量結合されたRF電極又は誘導結合されたRF電極から成る請求項に記載の装置。
  8. 容量結合されたRF電極から成る前記第2のセットは、作動電極及び接地電極を含む、請求項に記載の装置。
  9. 前記接地電極は、シリコンを含む材料で形成される、請求項に記載の装置。
  10. 誘導結合されたRF電極から成る前記第2のセットは、石英バリアよって、前記第2のプレコートガスから保護される、請求項に記載の装置。
  11. 誘導結合されたRF電極から成る前記第2のセットが、誘導コイル及び接地電極を含む、請求項10に記載の装置。
  12. 前記内側閉じ込めリング前記外側閉じ込めリングうちの少なくとも一方は、石英を含む、請求項に記載の装置。
  13. 前記第1のプレコートガスは、シリコン、アモルファスシリコン、窒化シリコン、炭化ケイ素及びSiO2のうちの1つを形成する、請求項1に記載の装置。
  14. 前記第2のプレコートガスは、フッ素化炭化水素ガス、C46、C48、CH3F、SiH4及びO2のうちの少なくとも1つを含む、請求項1に記載の装置。
  15. チャンバ壁を含むプラズマ処理チャンバを選択的にプレコーティングする方法であって、
    第1のプラズマチャンバゾーンを画成するRF電極から成る第1のセットを、第1のプレコートプラズマを発生させるように構成することと、
    第2のプラズマチャンバゾーンを画成するRF電極から成る第2のセットを、第2のプレコートプラズマを発生させるように構成することと、
    RF電極から成る前記第1のセットと、RF電極から成る前記第2のセットとの間に、上下に移動可能な内側閉じ込めリング構成することと、
    RF電極から成る前記第2のセットと、前記チャンバ壁との間に、上下に移動可能な外側閉じ込めリング構成することと、
    ガス供給システムによって、前記第1のプラズマチャンバゾーンに第1のプレコートガスが供給され、RF電極から成る前記第1のセットに電圧が印加されたときに、前記内側閉じ込めリングが下げられた状態で前記第1のプラズマゾーンをプレコートすることと、
    前記ガス供給システムによって、前記第2のプラズマチャンバゾーンに、第2のプレコートガスが供給され、RF電極から成る前記第2のセットに電圧が印加されたときに、前記内側リング及び前記外側閉じ込めリングが下げられた状態で前記第2のプラズマゾーンをプレコートするとと、
    含む方法。
  16. RF電極から成る前記第1のセットは、容量結合されたRF電極又は誘導結合されたRF電極から成る請求項15に記載の方法。
  17. 容量結合されたRF電極から成る前記第1のセットは、作動電極及び接地電極を含む、請求項16に記載の方法。
  18. 前記接地電極は、シリコンを含む材料で形成される、請求項17に記載の方法。
  19. 誘導結合されたRF電極から成る前記第1のセットは、石英バリアよって、前記第2のプレコートガスから保護される、請求項16に記載の方法。
  20. 誘導結合されたRF電極から成る前記第1のセットは、誘導コイル及び接地電極を含む、請求項16に記載の方法。
  21. RF電極から成る前記第2のセットは、容量結合されたRF電極又は誘導結合されたRF電極から成る請求項15に記載の方法。
  22. 容量結合されたRF電極から成る前記第2のセットは、作動電極及び接地電極を含む、請求項21に記載の方法。
  23. 前記接地電極は、シリコンを含む材料で形成される、請求項22に記載の方法。
  24. 誘導結合されたRF電極から成る前記第2のセットは、石英バリアよって、前記第2のプレコートガスから保護される、請求項21に記載の方法。
  25. 誘導結合されたRF電極から成る前記第2のセットは、誘導コイル及び接地電極を含む、請求項24に記載の方法。
  26. 前記第1のプレコートガスは、シリコン、アモルファスシリコン、窒化シリコン、炭化ケイ素及びSiO2のうちの1つを形成する、請求項15に記載の方法。
  27. 前記第2のプレコートガスは、フッ素化炭化水素ガス、C46、C48、CH3F、SiH4及びO2のうちの少なくとも1つを含む、請求項15に記載の方法。
JP2008557502A 2006-03-03 2007-03-01 プラズマ処理チャンバの選択的プレコーティングのための方法及び装置 Active JP5028430B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/367,290 US7578258B2 (en) 2006-03-03 2006-03-03 Methods and apparatus for selective pre-coating of a plasma processing chamber
US11/367,290 2006-03-03
PCT/US2007/063102 WO2007120994A2 (en) 2006-03-03 2007-03-01 Methods and apparatus for selective pre-coating of a plasma processing chamber

Publications (3)

Publication Number Publication Date
JP2009529225A JP2009529225A (ja) 2009-08-13
JP2009529225A5 JP2009529225A5 (ja) 2011-04-21
JP5028430B2 true JP5028430B2 (ja) 2012-09-19

Family

ID=38470383

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008557502A Active JP5028430B2 (ja) 2006-03-03 2007-03-01 プラズマ処理チャンバの選択的プレコーティングのための方法及び装置

Country Status (6)

Country Link
US (2) US7578258B2 (ja)
JP (1) JP5028430B2 (ja)
KR (1) KR101336479B1 (ja)
CN (1) CN101395702B (ja)
TW (1) TWI480948B (ja)
WO (1) WO2007120994A2 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2009099661A2 (en) * 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP2011151263A (ja) * 2010-01-22 2011-08-04 Tokyo Electron Ltd エッチング方法、エッチング装置及びリング部材
US9543123B2 (en) * 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) * 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9287147B2 (en) * 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6298391B2 (ja) * 2014-10-07 2018-03-20 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
KR101817217B1 (ko) * 2015-11-17 2018-01-12 세메스 주식회사 척핀, 척핀 제조 방법 및 기판 처리 장치
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP6779165B2 (ja) 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
JP6910319B2 (ja) * 2018-04-23 2021-07-28 東京エレクトロン株式会社 有機領域をエッチングする方法
JP6920244B2 (ja) * 2018-04-23 2021-08-18 東京エレクトロン株式会社 プラズマ処理方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111586957B (zh) * 2019-02-19 2021-05-04 大连理工大学 一种容性耦合等离子体放电装置
JP7190938B2 (ja) * 2019-02-27 2022-12-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5952060A (en) * 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002110565A (ja) 2000-10-02 2002-04-12 Sony Corp プラズマ処理装置及び処理方法、並びに半導体装置の製造方法
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6776851B1 (en) 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
CA2396657C (en) * 2001-08-16 2007-11-06 The Minster Machine Company Adjustable stroke mechanism
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7122125B2 (en) 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7426900B2 (en) * 2003-11-19 2008-09-23 Tokyo Electron Limited Integrated electrostatic inductive coupling for plasma processing
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber

Also Published As

Publication number Publication date
KR20080106427A (ko) 2008-12-05
TW200739722A (en) 2007-10-16
JP2009529225A (ja) 2009-08-13
CN101395702A (zh) 2009-03-25
US8298626B2 (en) 2012-10-30
WO2007120994B1 (en) 2008-11-20
KR101336479B1 (ko) 2013-12-03
WO2007120994A3 (en) 2008-10-02
WO2007120994A2 (en) 2007-10-25
US20070204797A1 (en) 2007-09-06
CN101395702B (zh) 2010-12-22
TWI480948B (zh) 2015-04-11
US7578258B2 (en) 2009-08-25
US20090272718A1 (en) 2009-11-05

Similar Documents

Publication Publication Date Title
JP5028430B2 (ja) プラズマ処理チャンバの選択的プレコーティングのための方法及び装置
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
US9184043B2 (en) Edge electrodes with dielectric covers
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
KR101854925B1 (ko) 베벨 에지를 프로세싱하기 위한 방법 및 장치
US5879575A (en) Self-cleaning plasma processing reactor
KR101895437B1 (ko) 플라즈마 에칭 방법
KR101455954B1 (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
JP6431557B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US20070235426A1 (en) Plasma processing apparatus and plasma processing method
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
WO2006036753A2 (en) Methods and apparatus for tuning a set of plasma processing steps
KR20070046166A (ko) 플라즈마 챔버 내부에서의 사용을 위한 이트리아 절연체 링
KR101863992B1 (ko) 피에칭층을 에칭하는 방법
KR102096119B1 (ko) 플라즈마 에칭 방법 및 플라즈마 처리 장치
JP2022029847A (ja) シリコンのドライエッチング方法
KR101912636B1 (ko) 반도체 장치의 제조 방법 및 컴퓨터 기록 매체
KR20040096380A (ko) 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
KR20220010703A (ko) 붕소-도핑된 실리콘 재료들을 활용하는 통합 프로세스들
JP4128365B2 (ja) エッチング方法及びエッチング装置
JP2002235173A (ja) プラズマcvd装置及び堆積膜クリーニング方法
KR20060135714A (ko) 기판처리 장치 및 반도체 장치의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100226

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120229

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120625

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5028430

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250