KR101863992B1 - 피에칭층을 에칭하는 방법 - Google Patents

피에칭층을 에칭하는 방법 Download PDF

Info

Publication number
KR101863992B1
KR101863992B1 KR1020150064666A KR20150064666A KR101863992B1 KR 101863992 B1 KR101863992 B1 KR 101863992B1 KR 1020150064666 A KR1020150064666 A KR 1020150064666A KR 20150064666 A KR20150064666 A KR 20150064666A KR 101863992 B1 KR101863992 B1 KR 101863992B1
Authority
KR
South Korea
Prior art keywords
gas
region
etching
layer
etched layer
Prior art date
Application number
KR1020150064666A
Other languages
English (en)
Other versions
KR20150130920A (ko
Inventor
신 히로츠
요시키 이가라시
도모노리 미와
히로시 오카다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150130920A publication Critical patent/KR20150130920A/ko
Application granted granted Critical
Publication of KR101863992B1 publication Critical patent/KR101863992B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L27/11551
    • H01L27/11578
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

피에칭층에 형성되는 복수의 개구의 폭의 차이를 저감시킨다.
피에칭층을 에칭하는 방법이 제공된다. 이 방법은, (a) 피에칭층 상에 형성된 유기막으로 이루어지는 마스크층 상에 플라즈마 반응 생성물을 퇴적시키는 제1 공정과, (b) 제1 공정 후에, 피에칭층을 에칭하는 제2 공정을 포함한다. 마스크층은, 조영역 및 밀영역을 갖는다. 조영역에는 복수의 개구가 형성되어 있다. 밀영역은 조영역을 둘러싸고 있고, 상기 밀영역에는 조영역보다 마스크가 조밀하게 존재하고 있다. 조영역은, 제1 영역, 및 제2 영역을 포함하고 있다. 제2 영역은, 제1 영역보다 밀영역에 가까운 영역이다. 이 방법의 제1 공정에서는, 제1 영역에서의 개구의 폭이, 제2 영역에서의 개구의 폭보다 좁아진다.

Description

피에칭층을 에칭하는 방법{METHOD FOR ETCHING ETCHING TARGET LAYER}
본 발명의 실시형태는, 피에칭층을 에칭하는 방법에 관한 것이다.
반도체 장치의 일종으로서, 3차원 구조를 갖는 NAND형 플래시 메모리 디바이스가 알려져 있다. 이 디바이스는, 다층막을 갖고 있고, 상기 다층막은, 유전율이 상이한 2개의 층이 교대로 형성됨으로써 구성되어 있다. 이 디바이스의 제조에 있어서는, 다층막의 에칭에 의해, 상기 다층막에 복수의 깊은 홀이 형성된다. 이러한 에칭 방법에 관해서는, 하기 특허문헌 1에 기재되어 있다.
구체적으로, 특허문헌 1에 기재된 에칭 방법에서는, 다층막 상에 아모르퍼스 카본제의 마스크를 갖는 피처리체가, CH2F2 가스, N2 가스, 및 NF3를 포함하는 처리 가스의 플라즈마에 노출된다.
특허문헌 1에 기재된 에칭 방법과 같이, 깊은 홀과 같은 복수의 개구를 피에칭층에 형성하는 에칭 방법에서는, 상기 개구를 구획하는 벽면의 수직성을 높이기 위해, 상기 벽면이나 마스크의 표면을 플라즈마 반응 생성물에 의해 보호하면서, 다층막의 에칭이 진행된다.
특허문헌 1 : 미국 특허 출원 공개 제2013/0059450호 명세서
그런데, 복수의 개구를 피에칭층에 형성하기 위해 전술한 종래의 에칭 방법을 이용하더라도, 복수의 개구의 폭이 서로 상이한 것으로 되는 경우가 있다. 따라서, 피에칭층에 형성되는 복수의 개구의 폭의 차이를 저감시키는 것이 필요해진다.
일측면에 있어서는, 피에칭층을 에칭하는 방법이 제공된다. 이 방법은, (a) 피에칭층 상에 형성된 유기막으로 이루어지는 마스크층 상에 플라즈마 반응 생성물을 퇴적시키는 공정(이하, 「제1 공정」이라고 함)과, (b) 제1 공정 후에, 피에칭층을 에칭하는 공정(이하, 「제2 공정」이라고 함)을 포함한다. 마스크층은, 조(粗)영역 및 밀(密)영역을 갖는다. 조영역에는 복수의 개구가 형성되어 있다. 밀영역은 조영역을 둘러싸고 있고, 상기 밀영역에는 조영역보다 마스크층이 조밀하게 존재하고 있다. 조영역은, 제1 영역, 및 제2 영역을 포함하고 있다. 제2 영역은, 제1 영역보다 밀영역에 가까운 영역이다. 이 방법의 제1 공정에서는, 제1 영역에서의 개구의 폭이, 제2 영역에서의 개구의 폭보다 좁아진다.
일반적으로, 플라즈마 반응 생성물을 퇴적시키면서 피에칭층을 에칭하면, 마스크층이 조밀하게 형성되어 있는 밀영역에 가까운 제2 영역 및 그 직하의 피에칭층 내의 영역에는, 밀영역으로부터 먼 제1 영역 및 그 직하의 피에칭층 내의 영역보다, 많은 플라즈마 반응 생성물이 부착된다. 따라서, 제2 영역의 직하의 피에칭층 내의 영역에 형성되는 개구의 폭이 작아진다. 한편, 전술한 일측면에 관련된 방법에 의하면, 제1 공정의 실행에 의해, 제1 영역에서의 개구의 폭이, 제2 영역에서의 개구의 폭보다 좁아진다. 그러한 후에, 제2 공정에서, 플라즈마 반응 생성물을 퇴적시키면서 피에칭층을 에칭하면, 제1 영역의 직하의 피에칭층 내의 영역에 형성되는 개구의 폭과 제2 영역의 직하의 피에칭층 내의 영역에 형성되는 개구의 폭의 차이가 작아진다. 예컨대, 제1 영역의 직하의 피에칭층 내의 영역에 형성되는 개구의 폭과 제2 영역의 직하의 피에칭층 내의 영역에 형성되는 개구의 폭이, 실질적으로 동일해진다. 따라서, 이 방법에 의하면, 피에칭층에 형성되는 복수의 개구의 폭의 차이를 저감시키는 것이 가능해진다.
일형태의 제1 공정에서는, 실리콘 함유 가스, 및 산소 함유 가스 및/또는 수소 함유 가스를 포함하는 혼합 가스의 플라즈마가 생성된다. 제1 공정에서 이용되는 혼합 가스가 실리콘 함유 가스에 부가하여 산소 함유 가스를 포함하는 경우에는, 마스크층 상에 퇴적하는 플라즈마 반응 생성물로서 산화실리콘이 생성되는데, 밀영역에서는 마스크를 구성하는 재료와의 반응에 조영역보다 많은 산소의 활성종이 소비되기 때문에, 상기 밀영역에 가까운 제2 영역의 근방에서 생성되는 산화실리콘의 양은, 밀영역으로부터 떨어진 제1 영역의 근방에서 생성되는 산화실리콘의 양보다 적어진다. 따라서, 제1 공정의 실행에 의해, 제1 영역의 개구의 폭이, 제2 영역의 개구의 폭보다 좁아진다. 마찬가지로, 제1 공정에서 이용되는 혼합 가스가 실리콘 함유 가스에 부가하여 수소 함유 가스를 포함하는 경우에는, 마스크층 상에 퇴적하는 플라즈마 반응 생성물로서 SiH가 생성되는데, 밀영역에서는 마스크를 구성하는 재료와의 반응에 조영역보다 많은 수소의 활성종이 소비되기 때문에, 상기 밀영역에 가까운 제2 영역의 근방에서 생성되는 SiH의 양은, 밀영역으로부터 떨어진 제1 영역의 근방에서 생성되는 SiH의 양보다 적어진다. 따라서, 제1 공정의 실행에 의해, 제1 영역의 개구의 폭이, 제2 영역의 개구의 폭보다 좁아진다.
일형태에서는, 실리콘 함유 가스는, SiCl4 또는 SiF4를 포함할 수 있다. 일형태에서는, 산소 함유 가스는 O2 가스여도 좋다. 일형태에서는, 수소 함유 가스는 탄화수소 가스여도 좋다. 또한, 일형태에서는, 수소 함유 가스는 CH4 가스여도 좋다.
일형태에서는, 피에칭층은, 산화실리콘제의 제1 유전체막 및 질화실리콘제의 제2 유전체막이 교대로 적층됨으로써 구성된 다층막이어도 좋다.
일형태의 제2 공정에서는, 수소 가스, 브롬화수소 가스, 및 삼불화질소 가스를 포함하며, 또한, 탄화수소 가스, 플루오로하이드로카본 가스, 및 플루오로카본 가스 중 적어도 하나를 포함하는 처리 가스의 플라즈마가 생성되어도 좋다. 제2 공정에서 이용되는 처리 가스는, 특히, 탄소 및 수소를 포함한다. 또한, 이 처리 가스에는, 비교적 많은 원자수의 수소가 포함된다. 이에 따라, 제2 공정의 에칭 중에, 탄소를 포함하며, 또한, 높은 경도를 갖는 보호막이 마스크층의 표면에 형성된다. 그 결과, 에칭의 종료시까지, 마스크층의 형상을 유지하는 것이 가능해진다. 즉, 마스크 선택비를 개선하는 것이 가능해진다.
일형태에서는, 플루오로하이드로카본 가스는, CH2F2 가스, CH3F 가스, 또는 CHF3 가스여도 좋다.
또한, 일형태에서는, 유기막은 아모르퍼스 카본막이어도 좋다.
이상 설명한 바와 같이, 피에칭층에 형성되는 복수의 개구의 폭의 차이를 저감시키는 것이 가능해진다.
도 1은, 피에칭층을 에칭하는 방법의 일실시형태를 도시한 흐름도이다.
도 2는, 일례에 관련된 피처리체의 단면도이다.
도 3은, 도 1에 도시한 방법의 실시 전, 및 도 1에 도시한 방법의 각 공정의 실행 후의 피처리체의 상태를 도시한 평면도이다.
도 4는, 도 1에 도시한 방법의 제1 공정의 실행 후의 피처리체의 상태를 도시한 단면도이다.
도 5는, 도 1에 도시한 방법의 제2 공정의 실행 후의 피처리체의 상태를 도시한 단면도이다.
도 6은, 플라즈마 처리 장치의 일례를 개략적으로 도시한 도면이다.
도 7은, 도 6에 도시한 밸브군, 유량 제어기군, 및 가스 소스군을 상세히 도시한 도면이다.
이하, 도면을 참조하여 여러가지 실시형태에 관해 상세히 설명한다. 또, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이기로 한다.
도 1은 피에칭층을 에칭하는 방법의 일실시형태를 도시한 흐름도이다. 도 1에 도시한 방법(MT)은, 마스크층 상에 플라즈마 반응 생성물을 퇴적시키는 제1 공정(ST1), 및 피에칭층을 에칭하는 제2 공정(ST2)을 포함하고 있다. 이 방법(MT)은, 예컨대, 도 2 및 도 3의 (a)에 도시한 피처리체(이하, 「웨이퍼(W)」라고 하는 경우가 있음)에 대하여 적용될 수 있다. 도 2는, 일례에 관련된 피처리체의 단면도이다. 도 3은, 도 1에 도시한 방법의 실시 전, 및 도 1에 도시한 방법의 각 공정의 실행 후의 피처리체의 상태를 도시한 평면도이다. 또, 도 3에 있어서는, 웨이퍼(W)를 마스크층(ML)의 상측에서 본 평면도가 도시되어 있다.
도 2에 도시한 바와 같이, 웨이퍼(W)는, 피에칭층(EL) 및 마스크층(ML)을 갖고 있다. 일실시형태에서는, 웨이퍼(W)는, 하지층(UL)을 더욱 갖고 있다. 이 실시형태에서는, 웨이퍼(W)는 하지층(UL) 상에 피에칭층(EL)을 갖고, 상기 피에칭층(EL) 상에 마스크층(ML)을 갖고 있다.
피에칭층(EL)은, 에칭 대상의 층이며, 마스크층(ML)의 패턴이 전사되는 층이다. 일실시형태에서는, 피에칭층(EL)은 다층막이고, 상기 다층막은 교대로 적층된 제1 유전체막(L1) 및 제2 유전체막(L2)을 포함하고 있다. 예컨대, 제1 유전체막(L1)은 산화실리콘으로 구성되어 있고, 제2 유전체막(L2)은 질화실리콘으로 구성될 수 있다. 또한, 제1 유전체막(L1)의 두께는, 예컨대, 5 nm∼50 nm이고, 제2 유전체막(L2)의 두께는, 예컨대, 10 nm∼75 nm이다. 또한, 피에칭층(EL)은, 각각이 제1 유전체막(L1), 및 상기 제1 유전체막(L1)의 직상에 형성된 제2 유전체막(L2)으로 이루어지는 24개의 적층막의 쌍을 가질 수 있다.
마스크층(ML)은, 유기막으로 구성되어 있다. 이 유기막은, 예컨대, 아모르퍼스 카본막이다. 도 2 및 도 3의 (a)에 도시한 바와 같이, 마스크층(ML)은, 조영역(RC) 및 밀영역(RD)을 갖고 있다. 조영역(RC)은, 밀영역(RD)에 둘러싸여 있다. 조영역(RC)에는, 복수의 개구(MO)가 형성되어 있다. 복수의 개구(MO)는, 피에칭층(EL)을 노출시키고 있다. 또한, 밀영역(RD)에는, 조영역(RC)보다 마스크층이 조밀하게 존재하고 있다. 일실시형태에서는, 밀영역(RD)에는 개구가 형성되어 있지 않지만, 다른 실시형태에서는, 밀영역(RD)에는, 조영역(RC)보다 낮은 밀도로 개구(MO)가 형성되어 있어도 좋다.
복수의 개구(MO)는, 일실시형태에서는, 홀이고, 4열로 배열되어 있다. 그러나, 복수의 개구(MO)의 배열 양태는, 도 2 및 도 3의 (a)에 도시된 양태에 한정되지 않는다. 예컨대, 4열보다 많은 또는 적은 열로 복수의 개구(MO)가 배열되어 있어도 좋다. 또한, 복수의 개구(MO)의 각각은, 홈이어도 좋다.
조영역(RC)은, 제1 영역(R1) 및 제2 영역(R2)을 포함하고 있다. 제2 영역(R2)은, 제1 영역(R1)보다 밀영역(RD)에 가까운 영역이다. 도시한 바와 같이, 복수의 개구(MO)는, 제1 영역(R1) 및 제2 영역(R2)의 쌍방에 형성되어 있다.
이하, 도 1, 도 3, 도 4 및 도 5를 참조하여, 방법(MT)에 관해 상세히 설명한다. 도 4는, 도 1에 도시한 방법의 제1 공정의 실행 후의 피처리체의 상태를 도시한 단면도이고, 도 3의 (b)의 IV-IV선을 따라 취한 단면을 도시하고 있다. 도 5는, 도 1에 도시한 방법의 제2 공정의 실행 후의 피처리체의 상태를 도시한 단면도이고, 도 3의 (c)의 V-V선을 따라 취한 단면을 도시하고 있다.
방법(MT)의 제1 공정(ST1)에서는, 마스크층(ML) 상에 플라즈마 반응 생성물이 퇴적되어, 도 4에 도시한 바와 같이, 마스크층(ML) 상에 퇴적물(DP)이 형성된다. 이 제1 공정(ST1)에 의해, 도 3의 (b) 및 도 4에 도시한 바와 같이, 제1 영역(R1)에서의 개구(MO)의 폭이 제2 영역(R2)에서의 개구(MO)의 폭보다 좁아진다.
일실시형태의 제1 공정(ST1)에서는, 웨이퍼(W)를 수용한 플라즈마 처리 장치의 처리 용기 내에서, 실리콘 함유 가스, 및 산소 함유 가스 및/또는 수소 함유 가스를 포함하는 혼합 가스의 플라즈마가 생성된다. 실리콘 함유 가스는, 예컨대, SiCl4 및/또는 SiF4를 포함한다. 또한, 산소 함유 가스는, 예컨대, O2 가스이다. 또한, 수소 함유 가스는, 탄화수소 가스여도 좋고, 예컨대, CH4 가스여도 좋다.
제1 공정(ST1)에서 이용되는 혼합 가스가 SiCl4 가스 및 O2 가스를 포함하는 경우에는, 플라즈마 중에서, 산화실리콘(SiO) 및 Cl2가 발생한다. 산화실리콘(SiO)은, 플라즈마 반응 생성물로서 마스크층(ML) 상에 퇴적하여, 퇴적물(DP)을 형성한다. 여기서, 밀영역(RD)에서는, 마스크층(ML)을 구성하는 재료, 즉 탄소와의 반응에 조영역(RC)보다 많은 산소의 활성종이 소비되기 때문에, 상기 밀영역(RD)에 가까운 제2 영역(R2)의 근방에서 생성되는 산화실리콘의 양은, 밀영역(RD)으로부터 떨어진 제1 영역(R1)의 근방에서 생성되는 산화실리콘의 양보다 적어진다. 따라서, 제1 공정(ST1)의 실행에 의해, 제1 영역(R1)의 개구(MO)의 폭이, 제2 영역(R2)의 개구(MO)의 폭보다 좁아진다.
또한, 제1 공정(ST1)에서 이용되는 혼합 가스가 SiCl4 가스 및 CH4 가스를 포함하는 경우에는, 플라즈마 중에서, SiC, SiH, Cl2, 및 H2 등의 이온 또는 라디칼이 발생한다. SiC 및 SiH의 이온 또는 라디칼은, 플라즈마 반응 생성물로서 마스크층(ML) 상에 퇴적하여, 퇴적물(DP)을 형성한다. 여기서, 밀영역(RD)에서는, 탄소와의 반응에 조영역(RC)보다 많은 수소의 활성종이 소비되기 때문에, 상기 밀영역(RD)에 가까운 제2 영역(R2)의 근방에서 생성되는 SiH의 양은, 밀영역(RD)으로부터 떨어진 제1 영역(R1)의 근방에서 생성되는 SiH보다 적어진다. 따라서, 이 경우에도, 제1 영역(R1)의 개구(MO)의 폭이, 제2 영역(R2)의 개구(MO)의 폭보다 좁아진다.
계속해서, 방법(MT)의 제2 공정(ST2)에서는, 피에칭층(EL)이 에칭된다. 이에 따라, 도 5에 도시한 바와 같이, 개구(MO)에 연속하는 개구(EO)가 피에칭층(EL)에 형성된다. 이 제2 공정(ST2)에서는, 플라즈마 처리 장치의 처리 용기 내에서, 처리 가스의 플라즈마가 생성된다. 제2 공정(ST2)에서는, 처리 가스의 플라즈마 중에서 발생한 활성종에 의해, 피에칭층(EL) 및 퇴적물(DP)이 에칭되고, 동시에, 마스크층(ML) 상에 플라즈마 반응 생성물이 퇴적하여, 남겨진 퇴적물(DP)과 함께 보호막(PF)을 형성한다. 보호막(PF)의 막두께는, 제2 영역(R2) 상에서 두꺼워지고, 한편, 제1 영역(R1) 상에서 얇아진다. 또한, 전술한 바와 같이, 제1 공정(ST1)의 실행에 의해, 제1 영역(R1)의 개구(MO)의 폭이, 제2 영역(R2)의 개구(MO)의 폭보다 좁아져 있다. 따라서, 도 3의 (c) 및 도 5에 도시한 바와 같이, 제2 공정(ST2)의 실행에 의해, 제1 영역(R1)의 직하의 피에칭층(EL) 내의 영역에 형성되는 개구(EO)의 폭과, 제2 영역(R2)의 직하의 피에칭층(EL) 내의 영역에 형성되는 개구(EO)의 폭의 차이가 작아진다. 예컨대, 제1 영역(R1)의 직하의 피에칭층(EL) 내의 영역에 형성되는 개구(EO)의 폭과, 제2 영역(R2)의 직하의 피에칭층(EL) 내의 영역에 형성되는 개구(EO)의 폭이 실질적으로 동일해진다.
일실시형태의 제2 공정(ST2)에서는, 처리 가스로서, 수소 가스, 브롬화수소 가스, 및 삼불화질소 가스를 포함하며, 또한, 탄화수소 가스, 플루오로하이드로카본 가스, 및 플루오로카본 가스 중 적어도 하나를 포함하는 처리 가스가 이용된다. 일실시형태에서는, 플루오로하이드로카본 가스는, CH2F2 가스, CH3F 가스, 또는 CHF3 가스여도 좋다.
제2 공정(ST2)에 있어서 이용되는 처리 가스는, 특히, 탄소 및 수소를 포함한다. 또한, 이 처리 가스에는, 비교적 많은 원자수의 수소가 포함된다. 이에 따라, 제2 공정(ST2)의 에칭 중에, 탄소를 포함하며, 또한, 높은 경도를 갖는 보호막(PF)이 마스크층(ML)의 표면에 형성된다. 즉, 개구(MO)의 측벽부에 보호막(PF)이 형성된다. 그 결과, 에칭의 종료시까지, 마스크층(ML)의 형상을 유지하는 것이 가능해진다. 즉, 마스크 선택비를 개선하는 것이 가능해진다.
또한, 처리 가스의 플라즈마 중에는, 비교적 많은 수소의 활성종이 포함되어 있기 때문에, 제2 유전체막(L2)의 에칭 레이트가 커진다. 그 결과, 피에칭층(EL)의 에칭 레이트가 높아진다.
또한, 처리 가스의 플라즈마 중에는, 브롬의 활성종이 포함되어 있기 때문에, 피에칭층(EL)에 형성되는 개구를 구획하는 면에 SiBrO와 같은 에칭 부생성물의 막이 형성된다. 이에 따라, 피에칭층(EL)에 형성되는 개구를 구획하는 벽면이, 매끄러운 면이 된다.
일실시형태에 있어서는, 제2 공정(ST2)이 행해지고 있는 기간 내에서 웨이퍼(W)의 온도가 변경되어도 좋다. 여기서, 웨이퍼(W)의 온도가 낮은 경우에는, 피에칭층(EL)의 에칭 레이트가 높아지고, 피에칭층(EL)에 형성되는 개구의 폭이 커진다. 한편, 웨이퍼(W)의 온도가 높은 경우에는, 피에칭층(EL)의 에칭 레이트는 낮아지지만, 두꺼운 보호막을 형성할 수 있고, 깊이 방향에서 심부에 가까워질수록 가늘어지며 또한 전체적으로 가는 폭을 갖는 개구를 형성할 수 있다. 따라서, 제2 공정(ST2) 도중에, 웨이퍼(W)의 온도를 변경함으로써, 높은 수직성 및 가는 폭을 갖는 개구를 형성하는 것이 가능해진다.
구체적인 일례의 제2 공정(ST2)에서는, 제1 기간 중의 웨이퍼(W)의 온도가, 제1 기간 후의 제2 기간 중의 웨이퍼(W)의 온도보다 높게 설정된다. 즉, 제2 공정(ST2)의 제1 기간에 있어서, 웨이퍼(W)의 온도가 비교적 높은 온도로 설정되고, 제2 공정(ST2)의 제2 기간에 있어서 웨이퍼(W)의 온도가 비교적 낮은 온도로 설정된다. 예컨대, 제1 기간은, 제2 공정(ST2)의 개시부터 도중 시점까지의 기간이고, 제2 기간은 상기 도중 시점부터 제2 공정(ST2)의 종료까지의 기간이다. 또한, 예컨대, 제1 기간의 웨이퍼(W)의 온도는 30℃이고, 제2 기간의 웨이퍼(W)의 온도는 10℃이다. 이러한 제2 공정(ST2)에 의하면, 제1 기간에 있어서, 깊이 방향에서 심부에 가까울수록 가늘어지는 폭을 갖는 개구를 형성할 수 있고, 또한, 개구를 구획하는 벽면에 두꺼운 보호막을 형성할 수 있다. 그리고, 제2 기간에 있어서, 심부에서의 개구의 폭을 넓힐 수 있다. 이에 따라, 가는 폭을 가지며 또한 높은 수직성을 갖는 개구를 형성하는 것이 가능해진다.
이하, 방법(MT)의 실시에 이용할 수 있는 플라즈마 처리 장치에 관해 도 6을 참조하면서 설명한다. 도 6은, 플라즈마 처리 장치의 일례를 개략적으로 도시한 도면이다. 도 6에 도시한 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이고, 대략 원통형의 처리 용기(12)를 구비하고 있다. 처리 용기(12)의 내벽면은, 양극 산화 처리된 알루미늄으로 구성되어 있다. 이 처리 용기(12)는 보안 접지되어 있다.
처리 용기(12)의 바닥부 상에는, 절연 재료로 구성된 대략 원통형의 지지부(14)가 설치되어 있다. 지지부(14)는, 처리 용기(12) 내에서, 상기 처리 용기(12)의 바닥부로부터 수직 방향으로 연장되어 있다. 지지부(14)는, 처리 용기(12) 내에 설치된 적재대(PD)를 지지하고 있다.
적재대(PD)는, 그 상면에서 웨이퍼(W)를 유지한다. 적재대(PD)는, 하부 전극(16) 및 지지부(18)를 포함할 수 있다. 하부 전극(16)은, 예컨대 알루미알루미늄과 같은 금속으로 구성되어 있고, 대략 원반 형상을 이루고 있다. 이 하부 전극(16)의 상면의 위에는, 지지부(18)가 설치되어 있다.
지지부(18)는, 웨이퍼(W)를 지지하는 것으로, 베이스부(18a) 및 정전척(18b)을 포함하고 있다. 베이스부(18a)는, 예컨대 알루미늄과 같은 금속으로 구성되어 있고, 대략 원반 형상을 이루고 있다. 베이스부(18a)는, 하부 전극(16) 상에 설치되어 있고, 하부 전극(16)에 전기적으로 접속되어 있다. 정전척(18b)은, 베이스부(18a) 상에 설치되어 있다. 정전척(18b)은, 도전막인 전극을 한쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있다. 정전척(18b)의 전극에는, 직류 전원(22)이 전기적으로 접속되어 있다. 이 정전척(18b)은, 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 웨이퍼(W)를 흡착한다.
지지부(18)의 베이스부(18a)의 주연부 상에는, 웨이퍼(W)의 주연부 및 정전척(18b)을 둘러싸도록 포커스링(FR)이 배치되어 있다. 포커스링(FR)은, 에칭의 균일성을 향상시키기 위해 설치되어 있다. 포커스링(FR)은, 에칭 대상의 막의 재료에 따라 적절히 선택되는 재료로 구성되어 있고, 예컨대, 석영으로 구성될 수 있다.
베이스부(18a)의 내부에는, 냉매 유로(24)가 형성되어 있다. 냉매 유로(24)에는, 외부에 설치된 칠러 유닛으로부터 배관(26a, 26b)을 통해 소정 온도의 냉매가 순환 공급된다. 이와 같이 순환되는 냉매의 온도를 제어함으로써, 지지부(18) 상에 의해 지지된 웨이퍼(W)의 온도가 제어된다.
또한, 플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 형성되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전척(18b)의 상면과 웨이퍼(W)의 이면 사이에 공급한다.
또한, 플라즈마 처리 장치(10)는, 상부 전극(30)을 구비하고 있다. 상부 전극(30)은, 적재대(PD)의 상측에 있어서, 상기 적재대(PD)와 대향 배치되어 있다. 하부 전극(16)과 상부 전극(30)은, 서로 대략 평행하게 설치되어 있다. 이들 상부 전극(30)과 하부 전극(16) 사이에는, 웨이퍼(W)에 플라즈마 처리를 행하기 위한 처리 공간(S)이 구획되어 있다.
상부 전극(30)은, 절연성 차폐 부재(32)를 통해, 처리 용기(12)의 상부에 지지되어 있다. 이 상부 전극(30)은, 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은, 처리 공간(S)에 면하고 있고, 복수의 가스 토출 구멍(34a)을 제공하고 있다. 이 전극판(34)은, 줄열이 적은 저저항의 도전체 또는 반도체로 구성될 수 있다.
전극 지지체(36)는, 전극판(34)을 착탈 가능하게 지지하는 것으로, 예컨대 알루미늄과 같은 도전성 재료로 구성될 수 있다. 이 전극 지지체(36)는, 수냉 구조를 가질 수 있다. 전극 지지체(36)의 내부에는, 가스 확산실(36a)이 설치되어 있다. 이 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 하측으로 연장되어 있다. 또한, 전극 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있고, 이 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 통해, 가스 소스군(40)이 접속되어 있다. 도 7은, 도 6에 도시한 밸브군, 유량 제어기군, 및 가스 소스군을 상세히 도시한 도면이다. 도 7에 도시한 바와 같이, 가스 소스군(40)은, 복수의 가스 소스(401∼407)를 포함하고 있다. 가스 소스(401)는, 실리콘 함유 가스의 소스이고, 예컨대, SiCl4 가스 및/또는 SiF4 가스의 소스이다. 가스 소스(402)는, 산소 함유 가스 및/또는 수소 함유 가스의 소스이다. 전술한 바와 같이, 산소 함유 가스는 예컨대 O2 가스여도 좋다. 또한, 수소 함유 가스는 탄화수소 가스, 예컨대 CH4 가스여도 좋다. 가스 소스(403)는, H2 가스의 소스이다. 또, 가스 소스(403)는 임의의 수소 가스의 소스여도 좋다. 가스 소스(404)는, HBr 가스의 소스이다. 가스 소스(405)는, NF3 가스의 소스이다. 가스 소스(406)는, CH2F2 가스의 소스이다. 또, 가스 소스(406)는, 임의의 플루오로카본계 가스의 소스일 수 있다. 플루오로카본계 가스는, 플루오로카본 가스 또는 플루오로하이드로카본 가스일 수 있다. 플루오로카본 가스로는, C4F6 가스, C4F8 가스, CF4 가스가 예시되고, 플루오로하이드로카본 가스로는, CH2F2 가스 외에, CH3F 가스, CHF3 가스가 예시된다. 또한, 가스 소스(407)는, CH4 가스의 소스이다. 또, 가스 소스(407)는, 임의의 탄화수소 가스의 소스일 수 있다.
유량 제어기군(44)은, 복수(N개)의 유량 제어기(441∼447)를 포함하고 있다. 유량 제어기(441∼447)는, 대응하는 가스 소스로부터 공급되는 가스의 유량을 제어한다. 이들 유량 제어기(441∼447)는, 매스 플로우 컨트롤러(MFC)여도 좋고, FCS여도 좋다. 밸브군(42)은, 복수(N개)의 밸브(421∼427)를 포함하고 있다. 가스 소스(401∼407)는 각각, 유량 제어기(441∼447) 및 밸브(421∼427)를 통해, 가스 공급관(38)에 접속되어 있다. 가스 소스(401∼407)의 가스는, 가스 공급관(38)으로부터 가스 확산실(36a)에 이르고, 가스 통류 구멍(36b) 및 가스 토출 구멍(34a)을 통해 처리 공간(S)에 토출된다.
도 6으로 되돌아가, 플라즈마 처리 장치(10)는, 접지 도체(12a)를 더욱 구비할 수 있다. 접지 도체(12a)는, 대략 원통형을 이루고 있고, 처리 용기(12)의 측벽으로부터 상부 전극(30)의 높이 위치보다 상측으로 연장되도록 설치되어 있다.
또한, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라 증착 실드(46)가 착탈 가능하게 설치되어 있다. 증착 실드(46)는, 지지부(14)의 외주에도 설치되어 있다. 증착 실드(46)는, 처리 용기(12)에 에칭 부생물이 부착하는 것을 방지하는 것으로, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다.
처리 용기(12)의 바닥부측, 또한, 지지부(14)와 처리 용기(12)의 내벽 사이에 배기 플레이트(48)가 설치되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 이 배기 플레이트(48)의 하측에 있어서 처리 용기(12)에는, 배기구(12e)가 형성되어 있다. 배기구(12e)에는, 배기관(52)을 통해 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 처리 용기(12) 안을 원하는 진공도까지 감압할 수 있다. 또한, 처리 용기(12)의 측벽에는 웨이퍼(W)의 반입출구(12g)가 형성되어 있고, 이 반입출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.
처리 용기(12)의 내벽에는, 도전성 부재(GND 블록)(56)가 설치되어 있다. 도전성 부재(56)는, 높이 방향에서 웨이퍼(W)와 대략 동일한 높이에 위치하도록, 처리 용기(12)의 내벽에 부착되어 있다. 이 도전성 부재(56)는, 그라운드에 DC적으로 접속되어 있고, 이상 방전 방지 효과를 발휘한다. 또, 도전성 부재(56)는 플라즈마 생성 영역에 설치되어 있으면 되고, 그 설치 위치는 도 6에 도시한 위치에 한정되지 않는다.
또한, 플라즈마 처리 장치(10)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 더욱 구비하고 있다. 제1 고주파 전원(62)은, 플라즈마 생성용의 제1 고주파 전력을 발생하는 전원이고, 27∼100 MHz의 주파수, 일례에 있어서는 100 MHz의 고주파 전력을 발생한다. 제1 고주파 전원(62)은, 정합기(66)를 통해 하부 전극(16)에 접속되어 있다. 정합기(66)는, 제1 고주파 전원(62)의 출력 임피던스와 부하측(하부 전극(16)측)의 입력 임피던스를 정합시키기 위한 회로이다. 또, 제1 고주파 전원(62)은, 정합기(66)를 통해, 상부 전극(30)에 접속되어 있어도 좋다.
제2 고주파 전원(64)은, 웨이퍼(W)에 이온을 인입하기 위한 제2 고주파 전력, 즉 고주파 바이어스 전력을 발생하는 전원이고, 400 kHz∼13.56 MHz의 범위 내의 주파수, 일례에 있어서는 400 kHz의 고주파 전력을 발생한다. 제2 고주파 전원(64)은, 정합기(68)를 통해 하부 전극(16)에 접속되어 있다. 정합기(68)는, 제2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(16)측)의 입력 임피던스를 정합시키기 위한 회로이다.
또한, 플라즈마 처리 장치(10)는, 직류 전원부(70)를 더욱 구비하고 있다. 직류 전원부(70)는, 상부 전극(30)에 접속되어 있다. 직류 전원부(70)는, 부(負)의 직류 전압을 발생하고, 상기 직류 전압을 상부 전극(30)에 부여하는 것이 가능하다.
또한, 일실시형태에 있어서는, 플라즈마 처리 장치(10)는, 제어부(Cnt)를 더욱 구비할 수 있다. 제어부(Cnt)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이고, 플라즈마 처리 장치(10)의 각 부를 제어한다. 이 제어부(Cnt)에서는, 입력 장치를 이용하여, 오퍼레이터가 플라즈마 처리 장치(10)를 관리하기 위해 커맨드의 입력 조작 등을 행할 수 있고, 또한, 표시 장치에 의해, 플라즈마 처리 장치(10)의 가동 상황을 가시화하여 표시할 수 있다. 또한, 제어부(Cnt)의 기억부에는, 플라즈마 처리 장치(10)에서 실행되는 각종 처리를 프로세서에 의해 제어하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 처리 장치(10)의 각 부에 처리를 실행시키기 위한 프로그램, 즉, 처리 레시피가 저장된다.
구체적으로, 제어부(Cnt)는, 제1 공정(ST1)의 실행시에, 유량 제어기(441∼442), 밸브(421∼422), 및 배기 장치(50)에 제어 신호를 송출한다. 이에 따라, 혼합 가스가 처리 용기(12) 내에 공급되며, 또한, 상기 처리 용기(12) 내의 압력이 설정된 압력으로 된다. 또한, 제어부(Cnt)는, 제1 공정(ST1)의 실행시에, 제1 고주파 전원(62)에 제어 신호를 송출한다. 이에 따라, 제1 고주파 전원(62)으로부터의 고주파 전력이 하부 전극(16)에 공급된다. 또, 제1 공정(ST1)에서는, 고주파 바이어스 전력이, 하부 전극(16)에 공급되지 않아도 좋고, 혹은, 공급되어도 좋다.
일례에서는, 제1 공정(ST1)에서의 각종 조건은, 예컨대 이하에 나타내는 범위 내의 조건으로 설정된다.
·SiCl4 가스의 유량 : 5∼100 sccm
·O2 가스의 유량 : 5∼100 sccm
·제1 고주파 전원(62)의 고주파 전력의 주파수 : 27∼100 MHz
·제1 고주파 전원(62)의 고주파 전력 : 200∼2000 W
·제2 고주파 전원(64)의 고주파 전력의 주파수 : 0.4∼13 MHz
·제2 고주파 전원(64)의 고주파 전력 : 0∼300 W
·처리 용기(12) 내의 압력 : 0.67∼6.7 Pa(5∼50 mT)
또한, 제어부(Cnt)는, 제2 공정(ST2)의 실행시에, 유량 제어기(443∼447), 밸브(423∼427), 및 배기 장치(50)에 제어 신호를 송출한다. 이에 따라, 처리 가스가 처리 용기(12) 내에 공급되며, 또한, 상기 처리 용기(12) 내의 압력이 설정된 압력으로 된다. 또한, 제어부(Cnt)는, 제2 공정(ST2)의 실행시에, 제1 고주파 전원(62) 및 제2 고주파 전원(64)에 제어 신호를 송출한다. 이에 따라, 제1 고주파 전원(62)으로부터의 고주파 전력 및 제2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극(16)에 공급된다.
일례에서는, 제2 공정(ST2)에서의 각종 조건은, 예컨대, 이하에 나타내는 범위 내의 조건으로 설정된다.
·H2 가스의 유량 : 50∼300 sccm
·HBr 가스의 유량 : 10∼100 sccm
·NF3 가스의 유량 : 50∼100 sccm
·CH4 가스의 유량 : 10∼100 sccm
·CH2F2 가스의 유량 : 40∼150 sccm
·제1 고주파 전원(62)의 고주파 전력의 주파수 : 27∼100 MHz
·제1 고주파 전원(62)의 고주파 전력 : 500∼2700 W
·제2 고주파 전원(64)의 고주파 전력의 주파수 : 0.4∼13 MHz
·제2 고주파 전원(64)의 고주파 전력 : 1000∼4000 W
·처리 용기(12) 내의 압력 : 1.33∼13.3 Pa(10∼100 mT)
또한, 일실시형태에서는, 제2 공정(ST2)의 실행시에, 제어부(Cnt)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)으로부터의 고주파 전력이, 상기 고주파 전력의 ON과 OFF가 펄스형으로 전환되어 하부 전극(16)에 공급되도록, 제1 고주파 전원(62) 및 제2 고주파 전원(64)에 제어 신호를 송출할 수 있다. 또한, 제어부(Cnt)는, 고주파 전력이 ON으로 되어 있는 기간보다 절대치가 큰 부의 직류 전압이, 고주파 전력이 OFF로 되어 있는 기간에 상부 전극(30)에 인가되도록, 직류 전원부(70)에 제어 신호를 송출할 수 있다. 예컨대, 고주파 전력이 ON인 기간의 부의 직류 전압의 절대치는, 150 V∼500 V의 범위 내의 전압이고, 고주파 전력이 OFF인 기간의 부의 직류 전압의 절대치는, 350 V∼1000 V의 범위 내의 전압이다. 또, 제1 고주파 전원(62) 및 제2 고주파 전원(64)의 고주파 전력의 ON 및 OFF의 주파수는, 예컨대, 1 kHz∼40 kHz이다. 여기서, 고주파 전력의 ON 및 OFF의 주파수란, 제1 고주파 전원(62) 및 제2 고주파 전원(64)의 고주파 전력이 ON인 기간과 OFF인 기간으로 이루어지는 기간을 1주기로 하는 주파수이다. 또한, 1주기에 있어서 고주파 전력이 ON인 기간이 차지하는 듀티비는, 예컨대, 50%∼90%이다. 또한, 직류 전원부(70)의 직류 전압값의 전환은, 제1 고주파 전원(62) 및 제2 고주파 전원(64)의 고주파 전력의 ON 및 OFF의 전환에 동기될 수 있다.
이와 같이 부의 직류 전압을 이용하는 실시형태에서는, 고주파 전력이 ON일 때에 플라즈마가 생성되고, 고주파 전력이 OFF일 때에, 웨이퍼(W) 직상의 플라즈마가 소실된다. 또한, 고주파 전력이 OFF일 때에 상부 전극(30)에 인가되는 부의 직류 전압에 의해, 정(正)이온이 상부 전극(30)에 인입되어 상기 상부 전극(30)에 충돌한다. 이에 따라, 상부 전극(30)으로부터 2차 전자가 방출된다. 방출된 2차 전자는, 마스크층(ML)을 개질하여, 마스크층(ML)의 에칭 내성을 향상시킨다. 또한, 2차 전자는, 웨이퍼(W)의 대전 상태를 중화하고, 그 결과, 피에칭층(EL)에 형성된 개구 내에 대한 이온의 직진성이 높아진다.
이하, 방법(MT)의 평가를 위해 행한 실험예에 관해 설명한다. 이 실험예에서는, 도 2 및 도 3의 (a)에 도시한 웨이퍼(W)와 동일한 웨이퍼를 준비했다. 준비한 웨이퍼의 마스크층(ML)은 아모르퍼스 카본막으로 구성되어 있고, 상기 마스크층(ML)에는, 직경 100 nm의 복수의 홀이 4열로 형성되어 있고, 열 사이의 피치는 150 nm이고, 각 열에서의 홀 사이의 피치는 150 nm였다. 또한, 피에칭층(EL)은, 각각이 산화실리콘제의 제1 유전체막 및 질화실리콘제의 제2 유전체막으로 이루어지는 24개의 적층막의 쌍(48층)을 갖고 있고, 피에칭층(EL)의 총두께는 3 ㎛였다. 적층막은 48층에 한정되지 않고 적어도 둘 이상의 층일 수 있다. 이 웨이퍼에 대하여, 이하에 나타내는 조건의 제1 공정(ST1) 및 제2 공정(ST2)을 플라즈마 처리 장치(10)를 이용하여 실행했다.
<실험예에서의 제1 공정(ST1)의 조건>
·혼합 가스 : SiCl4 가스(25 sccm), O2 가스(25 sccm), He 가스(200 sccm)
·처리 용기(12) 내의 압력 : 1.333 Pa(10 mTorr)
·제1 고주파 전원(62)의 고주파 전력 : 100 MHz, 500 W
·제2 고주파 전원(64)의 고주파 전력 : 400 kHz, 0 W
·처리 시간 : 15초
<실험예에서의 제2 공정(ST2)의 조건>
·처리 가스 : H2 가스(170 sccm), HBr 가스(80 sccm), NF3 가스(140 sccm), CH2F2 가스(90 sccm), CH4 가스(70 sccm)
·처리 용기(12) 내의 압력 : 4 Pa(30 mTorr)
·제1 고주파 전원(62)의 고주파 전력 : 100 MHz, 2000 W
·제2 고주파 전원(64)의 고주파 전력 : 400 kHz, 4000 W
·처리 시간 : 350초
또한, 비교 실험예에 있어서, 제1 공정(ST1)을 실행하지 않고, 실험예와 동일한 웨이퍼에 대하여 제2 공정(ST2)을 실행했다.
그리고, 피에칭층(EL)에 형성된 복수의 홀의 하지층과의 경계 부분에서의 폭(직경)을 구했다. 그 결과, 비교 실험예에서는, 4열의 홀 중 양옆의 2열의 홀의 폭과 중앙의 2열의 홀의 폭의 차이는 최대 6 nm였다. 한편, 실험예에서는, 4열의 홀 중 양옆의 2열의 홀의 폭과 중앙의 2열의 홀의 폭의 차이는 최대 2 nm였다. 이러한 점에서, 방법(MT)에 의해, 피에칭층에 형성되는 복수의 개구의 폭의 차이를 저감시킬 수 있는 것이 확인되었다.
이상, 여러가지 실시형태에 관해 설명했지만, 전술한 실시형태에 한정되지 않고 여러가지 변형 양태를 구성할 수 있다. 예컨대, 방법(MT)의 실시에 이용할 수 있는 플라즈마 처리 장치는, 용량 결합형의 플라즈마 처리 장치에 한정되지 않는다. 유도 결합형의 플라즈마 처리 장치, 또는, 마이크로파와 같은 표면파에 의해 플라즈마를 생성하는 플라즈마 처리 장치와 같은 여러가지 플라즈마 처리 장치를, 방법(MT)의 실시에 이용하는 것이 가능하다.
또한, 전술한 실시형태에서는, 피에칭층(EL)은, 24개보다 많은 혹은 적은 적층막의 쌍을 갖고 있어도 좋다. 또한, 피에칭층(EL)은, 단층이어도 좋다.
10 : 플라즈마 처리 장치, 12 : 처리 용기, PD : 적재대, 16 : 하부 전극, 18b : 정전척, 30 : 상부 전극, 40 : 가스 소스군, 42 : 밸브군, 44 : 유량 제어기군, 50 : 배기 장치, 62 : 제1 고주파 전원, 64 : 제2 고주파 전원, Cnt :제어부, W : 웨이퍼, ML : 마스크층, RC : 조영역, RD : 밀영역, R1 : 제1 영역, R2 : 제2 영역, MO : 개구, DP : 퇴적물, EL : 피에칭층, EO : 개구, PF : 보호막.

Claims (21)

  1. 피에칭층을 에칭하는 방법으로서,
    피에칭층 상에 형성된 유기막으로 이루어지는 마스크층 상에 플라즈마 반응 생성물을 퇴적시키는 공정과,
    상기 플라즈마 반응 생성물을 퇴적시키는 상기 공정 후에, 상기 피에칭층을 에칭하는 공정을 포함하고,
    상기 마스크층은, 복수의 개구가 형성된 조(粗)영역, 및 상기 조영역보다 마스크층이 조밀하게 존재하는 밀(密)영역으로서 상기 조영역을 둘러싸는 밀영역을 갖고,
    상기 조영역은, 제1 영역, 및 상기 제1 영역보다 상기 밀영역에 가까운 제2 영역을 포함하고,
    상기 플라즈마 반응 생성물을 퇴적시키는 상기 공정에서는, 상기 제1 영역에서의 상기 개구의 폭이, 상기 제2 영역에서의 상기 개구의 폭보다 좁아지고,
    상기 피에칭층을 에칭하는 공정에서는, 상기 피에칭층 및 상기 퇴적된 플라즈마 반응 생성물이 에칭되고, 동시에, 상기 마스크층 상에 플라즈마 반응 생성물이 퇴적하여, 남겨진 상기 퇴적된 플라즈마 반응 생성물과 함께 보호막을 형성하는 것인, 피에칭층을 에칭하는 방법.
  2. 제1항에 있어서, 상기 플라즈마 반응 생성물을 퇴적시키는 상기 공정에서는, 실리콘 함유 가스, 및 산소 함유 가스와 수소 함유 가스 중 적어도 하나를 포함하는 혼합 가스의 플라즈마가 생성되는 것인, 피에칭층을 에칭하는 방법.
  3. 제2항에 있어서, 상기 실리콘 함유 가스는 SiCl4 또는 SiF4를 포함하는 것인, 피에칭층을 에칭하는 방법.
  4. 제2항 또는 제3항에 있어서, 상기 산소 함유 가스는 O2 가스인 것인, 피에칭층을 에칭하는 방법.
  5. 제2항 또는 제3항에 있어서, 상기 수소 함유 가스는 탄화수소 가스인 것인, 피에칭층을 에칭하는 방법.
  6. 제5항에 있어서, 상기 수소 함유 가스는 CH4 가스인 것인, 피에칭층을 에칭하는 방법.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 피에칭층은, 산화실리콘제의 제1 유전체막 및 질화실리콘제의 제2 유전체막이 교대로 적층됨으로써 구성된 다층막인 것인, 피에칭층을 에칭하는 방법.
  8. 제7항에 있어서, 상기 피에칭층을 에칭하는 공정에서는, 수소 가스, 브롬화수소 가스, 및 삼불화질소 가스를 포함하며, 또한, 탄화수소 가스, 플루오로하이드로카본 가스, 및 플루오로카본 가스 중 적어도 하나를 포함하는 처리 가스의 플라즈마가 생성되는 것인, 피에칭층을 에칭하는 방법.
  9. 제8항에 있어서, 상기 플루오로하이드로카본 가스는 CH2F2 가스, CH3F 가스, 또는 CHF3 가스인 것인, 피에칭층을 에칭하는 방법.
  10. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 유기막은 아모르퍼스 카본막인 것인, 피에칭층을 에칭하는 방법.
  11. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 밀영역에는 개구가 형성되어 있지 않은 것인, 피에칭층을 에칭하는 방법.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
KR1020150064666A 2014-05-14 2015-05-08 피에칭층을 에칭하는 방법 KR101863992B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014100538A JP6289996B2 (ja) 2014-05-14 2014-05-14 被エッチング層をエッチングする方法
JPJP-P-2014-100538 2014-05-14

Publications (2)

Publication Number Publication Date
KR20150130920A KR20150130920A (ko) 2015-11-24
KR101863992B1 true KR101863992B1 (ko) 2018-06-01

Family

ID=54539115

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150064666A KR101863992B1 (ko) 2014-05-14 2015-05-08 피에칭층을 에칭하는 방법

Country Status (5)

Country Link
US (1) US9418863B2 (ko)
JP (1) JP6289996B2 (ko)
KR (1) KR101863992B1 (ko)
CN (1) CN105097498B (ko)
SG (1) SG10201503789YA (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6604833B2 (ja) * 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
US9997374B2 (en) * 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
KR102356741B1 (ko) 2017-05-31 2022-01-28 삼성전자주식회사 절연층들을 갖는 반도체 소자 및 그 제조 방법
TWI812762B (zh) * 2018-07-30 2023-08-21 日商東京威力科創股份有限公司 處理被處理體之方法、處理裝置及處理系統
WO2019138654A1 (ja) * 2018-10-26 2019-07-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US20230081817A1 (en) * 2020-02-13 2023-03-16 Lam Research Corporation High aspect ratio etch with infinite selectivity
WO2023215040A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Co-deposition and etch process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100593826B1 (ko) * 2001-04-19 2006-06-28 동경 엘렉트론 주식회사 드라이 에칭 방법
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6372634B1 (en) * 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
JP3927768B2 (ja) * 2000-11-17 2007-06-13 松下電器産業株式会社 半導体装置の製造方法
EP1387395B1 (en) * 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP4551913B2 (ja) * 2007-06-01 2010-09-29 株式会社東芝 半導体装置の製造方法
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090156011A1 (en) * 2007-12-12 2009-06-18 Belen Rodolfo P Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor
CN101625966A (zh) * 2008-07-11 2010-01-13 东京毅力科创株式会社 基板处理方法
US9122832B2 (en) * 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8097911B2 (en) * 2008-12-31 2012-01-17 Intel Corporation Etch stop structures for floating gate devices
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8993429B2 (en) * 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US8946076B2 (en) * 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
CN103295883B (zh) * 2013-06-04 2016-03-30 上海华力微电子有限公司 改善关键尺寸负载效应的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
KR100593826B1 (ko) * 2001-04-19 2006-06-28 동경 엘렉트론 주식회사 드라이 에칭 방법

Also Published As

Publication number Publication date
CN105097498B (zh) 2018-11-20
KR20150130920A (ko) 2015-11-24
US20150332932A1 (en) 2015-11-19
JP2015220251A (ja) 2015-12-07
CN105097498A (zh) 2015-11-25
JP6289996B2 (ja) 2018-03-07
SG10201503789YA (en) 2015-12-30
US9418863B2 (en) 2016-08-16

Similar Documents

Publication Publication Date Title
KR101863992B1 (ko) 피에칭층을 에칭하는 방법
KR102320085B1 (ko) 반도체 장치의 제조 방법
KR102266267B1 (ko) 반도체 장치의 제조 방법
KR102426264B1 (ko) 에칭 방법
KR102356211B1 (ko) 에칭 방법
KR101847866B1 (ko) 다층막을 에칭하는 방법
CN107068557B (zh) 半导体器件的制造方法
KR102038174B1 (ko) 반도체 장치의 제조 방법
KR101937727B1 (ko) 에칭 방법
KR102390726B1 (ko) 유기막을 에칭하는 방법
KR101835683B1 (ko) 다층막을 에칭하는 방법
KR20170000340A (ko) 에칭 방법
KR20160140469A (ko) 에칭 방법
US9613824B2 (en) Etching method
KR20190005146A (ko) 에칭 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant