CN101273430B - 去除晶片的斜面边缘和背部上的膜的装置和方法 - Google Patents

去除晶片的斜面边缘和背部上的膜的装置和方法 Download PDF

Info

Publication number
CN101273430B
CN101273430B CN2006800358829A CN200680035882A CN101273430B CN 101273430 B CN101273430 B CN 101273430B CN 2006800358829 A CN2006800358829 A CN 2006800358829A CN 200680035882 A CN200680035882 A CN 200680035882A CN 101273430 B CN101273430 B CN 101273430B
Authority
CN
China
Prior art keywords
substrate
edge electrode
plasma
bottom edge
distribution plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006800358829A
Other languages
English (en)
Other versions
CN101273430A (zh
Inventor
金允尚
安德鲁·D·贝利三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/440,561 external-priority patent/US7909960B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101273430A publication Critical patent/CN101273430A/zh
Application granted granted Critical
Publication of CN101273430B publication Critical patent/CN101273430B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了去除基片的斜面边缘附近的蚀刻副产物、介电膜和金属膜,以及基片背部和室内部上的蚀刻副产物的改进的机构,以避免聚合物副产物和沉积膜的聚积,并提高处理成品率。提供了一种示例性的配置为清洁基片的斜面边缘的等离子蚀刻处理室。该室包括围绕该等离子处理室内的基片支撑件的底部边缘电极,其中,该基片支撑件配置为容纳该基片,并且该底部边缘电极和该基片支撑件通过底部介电环而彼此电绝缘。该室还包括围绕相对该基片支撑件的气体分配板的顶部边缘电极,其中,该顶部边缘电极和该气体分配板通过顶部介电环而彼此电绝缘,并且该顶部边缘电极和该底部边缘电极配置为产生清洁等离子以清洁该基片的该斜面边缘。

Description

去除晶片的斜面边缘和背部上的膜的装置和方法
技术领域
本发明总地涉及基片制造技术,具体地涉及用于从基片的斜面边缘和背部去除蚀刻副产物的装置和方法。
背景技术
在基片(例如,半导体基片或如在平板显示器中使用的玻璃板)处理中,经常使用等离子。在基片处理中,基片(或晶片)被分为多个模片,或者矩形区域。该多个模片的每个会成为一个集成电路。然后在一系列的步骤中处理基片,在这些步骤中材料被有选择性地去除(或蚀刻)和沉积。在几个纳米级别上控制晶体管的门关键尺寸(CD)是最高优先的,因为对目标门长度的每个纳米的偏离可直接影响这些器件的运行速度。
通常,基片在蚀刻前涂覆以硬化乳胶薄膜(例如光刻胶掩模)。然后,该硬化乳胶区域被有选择地去除,从而使得下层的部分暴露在外。然后,将基片置于等离子处理室中的基片支撑结构上。然后,引入合适的等离子气体组到该室中,并且生成蚀刻该基片暴露区域的等离子。
在蚀刻处理过程中,蚀刻副产物,例如由碳(C)、氧(O)、氮(N)、氟(F)等组成的聚合物,往往形成在基片边缘(或斜面边缘)附近的顶部和底部表面上。蚀刻等离子密度在基片边缘附近通常较低,这导致了聚合物副产物在基片斜面边缘的顶部和底部表面上聚积。通常,在基片边缘附近没有模片,例如在距基片边缘5mm到15mm之间。但是,当因多种不同的蚀刻处理而导致接连不断的聚合物层沉积时,通常强健和有粘性的有机粘结剂在后续的处理步骤中将会最终变弱。那么在基片边缘顶部和底部表面附近形成的聚合物层将脱落或剥落,运输中往往会掉到另一个基片上。例如,基片通常经由充分干净的容器(常常称为基片匣)成组地在等离子处理系统之间移动。当较高位置的基片重新置于该容器中时,部分聚合物层会落到存在有模片的较低的基片上,这潜在地影响了器件产量。
由于蚀刻处理期间的污染或处理,蚀刻副产物也可沉积在基片支撑件的背部上。因为该基片背部未暴露于蚀刻等离子,所以在该背部上形成的副产物聚合物层在后续的蚀刻处理步骤中没有被去除。因此,该副产物聚合物层也可以类似聚合物层在基片边缘的顶部和底部表面附近聚积的方式聚积在基片背部上,并可产生微粒问题。另外,该处理室的内部,例如室壁,也会聚积蚀刻副产物聚合物,其需要定期地去除以避免副产物聚积以及产生室微粒问题。
介电膜(例如SiN和SiO2)和金属膜(例如Al和Cu)也会沉积在斜面边缘(包括顶部和底部表面)上,并且在蚀刻处理过程中不被去除。这些膜也会在后续的处理步骤中聚积并剥落,从而影响器件产量。
如前所述,需要一种设备和方法,其提供改进的机构以去除在基片斜面边缘附近的蚀刻副产物、介电膜和金属膜,以及在基片背部和室内部上的蚀刻副产物,从而避免聚合物副产物和沉积膜的聚积,并提高了处理产量。
发明内容
概括地说,这些实施方式通过提供改进的机构满足了上述需要,该机构可去除基片的斜面边缘附近的蚀刻副产物、介电膜和金属膜以及基片背部和室内部上的蚀刻副产物,从而避免了聚合物副产物和沉积膜的聚积,并且提高了处理成品率。应当理解,本发明可以通过多种方式实现,包括方法、装置或系统。以下描述本发明的数个创造性的实施方式。
在一个实施方式中,提供了一种等离子蚀刻处理室,其配置为清洁基片的斜面边缘。该处理室包括围绕该等离子处理室内的基片支撑件的底部边缘电极,其中,该基片支撑件配置为容纳该基片,并且该底部边缘电极和该基片支撑件通过底部介电环而彼此电绝缘。该室还包括围绕相对该基片支撑件的气体分配板的顶部边缘电极,其中,该顶部边缘电极和该气体分配板通过顶部介电环而彼此电绝缘,并且该顶部边缘电极和该底部边缘电极配置为产生清洁等离子,以清洁该基片的该斜面边缘。
在另一个实施方式中,提供了一种处理室,其具有连接到该基片支撑件的远端等离子源以清洁该处理室的室内部。该处理室包括该处理室中的基片支撑件以及连接到该基片支撑件的远端等离子源,其中,该远端等离子源提供清洁等离子以清洁该处理室的该室内部。
在另一个实施方式中,提供了一种用于在等离子蚀刻处理室中清洁基片的斜面边缘的装置。该装置包括其上设有基片的基片支撑件以及盖板,其中,在该斜面边缘的清洁过程中,该盖板覆盖该基片表面的中心部分并且暴露出该基片的斜面边缘。该装置还包括支撑该基片上方的该盖板的盖板支撑组件。
在又一个实施方式中,提供了一种在蚀刻处理室内清洁基片的斜面边缘的方法。该方法包括:将基片设置在处理室内的基片支撑件上;以及使清洁气体(或清洁处理气体)流过设在气体分配板中心附近的气体供给件(gas feed),该气体分配板设置为距该基片支撑件一定距离。该方法还包括:通过使用RF功率源对底部边缘电极或顶部边缘电极通电以及将未由该RF功率源通电的该边缘电极接地,在该基片的斜面边缘附近产生清洁等离子,以清洁该斜面边缘,其中,该底部边缘电极围绕该基片支撑件并且该顶部边缘电极围绕该气体分配板。
本发明的其它方面和优点将通过以下结合附图(通过实例示出了本发明的原理)的详细说明而变得显而易见。
附图说明
通过以下结合附图的详细说明,本发明将会更容易理解,并且附图中相同的参考标号代表相似的结构元件。
图1A示出带有一对顶部和底部边缘电极的基片蚀刻系统的一个实施方式的示意图;
图1AA示出图1A中的区域B的放大示意图;
图1B示出使用图1A中的顶部和底部边缘电极蚀刻基片斜面边缘附近的副产物的处理流程;
图1C示出带有一对顶部和底部边缘电极的基片蚀刻系统的另一个实施方式的示意图;
图1D示出带有一对顶部和底部边缘电极以及围绕的感应线圈的基片蚀刻系统的一个实施方式的示意图;
图1E示出带有一对顶部和底部边缘电极以及围绕该边缘电极对的突出电极的基片蚀刻系统的一个实施方式的示意图;
图1F示出带有一对顶部和底部边缘电极以及围绕该边缘电极对的突出电极的基片蚀刻系统的另一个实施方式的示意图;
图1G示出带有顶部和底部边缘电极对以及围绕该边缘电极对的突出电极的基片蚀刻系统的又一个实施方式的示意图;
图1H示出带有一对顶部和底部边缘电极以及围绕该边缘电极对的中空的阴极电极的基片蚀刻系统的一个实施方式的示意图;
图2A示出带有连接到基片支撑件的远端等离子清洁源的基片蚀刻系统;
图2B示出清洁基片背部的处理流程;
图2C示出清洁室内部的处理流程;
图3A示出带有基片盖板的基片蚀刻系统的一个实施方式的示意图;
图3B示出带有基片盖板支撑件的基片盖板的一个实施方式的俯视图;
图3C示出在带有基片盖板的基片蚀刻系统中清洁基片斜面边缘的处理流程。
具体实施方式
为该改进的机构提供了多个示例性实施方式,其可去除在基片斜面边缘附近的蚀刻副产物、介电膜和金属膜,以及在基片背部和室内部上的蚀刻副产物,从而可以避免聚合物副产物和沉积膜的聚积,并提高了处理成品率。本领域的技术人员应当理解,本发明可以不使用这里阐述的具体细节的一些或全部而实施。
图1A示出蚀刻处理室100的实施方式。室100具有基片支撑件140,其顶部带有基片150。在一个实施方式中,该基片支撑件140是静电卡盘,其由RF(射频)功率源(图未示)供电。在另一个实施方式中,基片支撑件140是常规电极(regular electrode)。基片支撑件140可以是DC(直流)或RF偏置。与该基片支撑件140相对的是气体分配板160,其带有气体供给件161。该基片支撑件还可以是由RF供电的、被偏置的、或接地的。在基片150的蚀刻过程中,室100可以由RF供电以产生电容耦合蚀刻等离子或电感耦合蚀刻等离子。基片150具有斜面边缘117,其包括该基片边缘的顶部和底部表面,如图1A的区域B及图1AA中放大的区域B所示。在图1AA中,斜面边缘117以粗体实线和曲线突出显示。
围绕基片支撑件140的边缘,存在底部边缘电极120,该底部边缘电极由导电材料制成,例如铝(Al)。在基片支撑件140和底部边缘电极120之间,存在将基片支撑件140和底部边缘电极120电隔开的底部介电环121。在一个实施方式中,基片150不接触底部边缘电极120。在底部边缘电极120之外,还有另一个底部绝缘环125,其延伸了该底部边缘电极120面向基片150的表面。
围绕气体分配板160,存在由例如铝(Al)的导电材料制成的顶部边缘电极110。顶部边缘电极110通过顶部介电环111而与气体分配板160电绝缘。在顶部边缘电极110之外,还有顶部绝缘环115,其延伸了顶部边缘电极110面向基片150的表面。
在一个实施方式中,底部边缘电极120连接到RF功率源123,并且顶部边缘电极110接地。在基片的斜面边缘的清洁处理期间,RF功率源123提供频率在约2MHz至约13MHz之间、且功率在约100瓦特至约2000瓦特之间的RF功率,以生成清洁等离子(cleaningplasma)。在斜面边缘的清洁过程中,将基片支撑件140和气体分配板160保持电浮动(electrically floating)。该清洁等离子配置为由顶部介电环111、顶部边缘电极110、顶部绝缘环115、底部介电环121、底部边缘电极120和该底部绝缘环限制。通过气体供给件161提供该一种或多种清洁气体(cleaning gas)。在一个实施方式中,该气体供给件设置于气体分配板160的中心附近。可选地,也可通过设置于处理室100的其它部分内的一个或多个气体供给件提供该一种或多种清洁气体。
为了清洁蚀刻副产物聚合物,清洁气体可包括含氧气体,例如O2。在一个实施方式中,也可添加一定量(如<10%)的含氟气体,如CF4、SF6或C2F6,以清洁聚合物。应当理解,含氮气体,例如N2,也可包含在该气体混合物中。该含氮气体帮助分解含氧气体。也可加入如Ar或He的惰性气体以稀释该气体和/或维持该等离子。为了清洁斜面边缘117处的一个或多个介电膜(例如SiN或SiO2),可使用如CF4、SF6或其二者组合的含氟气体。也可使用如Ar或He的惰性气体来稀释该含氟气体和/或维持该清洁等离子。为了清洁斜面边缘117处的一个或多个金属膜(例如Al或Cu),可使用含氯气体,例如Cl2或BCl3,或者其二者的组合。也可使用如Ar或He的惰性气体来稀释该含氯气体和/或维持该等离子以清洁该金属膜。
在一个实施方式中,顶部边缘电极110和底部边缘电极120之间的间隔DEE小于1.5cm,以确保限制该等离子。小于1.5cm的DEE可容许基片边缘附近开口的宽度(DW)与间隙(DEE)比小于4∶1,这可保证限制等离子。DW是基片边缘附近的开口的宽度。在一个实施方式中,DW是底部绝缘环125的宽度或顶部绝缘环115的宽度。室压在斜面边缘清洁过程中保持在约100mTorr到约2Torr之间。气体分配板160和基片150之间的间隔Ds小于0.6mm,以确保在斜面边缘清洁处理过程中顶部电极160和基片150之间没有等离子形成。
在另一个实施方式中,RF功率源可连接到顶部边缘电极110,而底部边缘电极120接地,以产生电容耦合清洁等离子。可选地,顶部边缘电极110或底部边缘电极120均可由埋入介电材料的感应线圈代替。在该实施方式中,该感应线圈连接到RF功率源,并且相对的边缘电极接地。该RF功率源提供功率以产生用于清洁斜面边缘117的电感耦合蚀刻等离子。
在基片边缘附近以及在顶部边缘电极110和底部边缘电极120之间产生的等离子清洁该基片的基片斜面边缘。该清洁有助于减少聚合物在基片斜面边缘的聚积,进而减少或消除了影响器件成品率的微粒瑕疵的产生的可能性。
图1B示出用于清洁基片的斜面边缘的处理流程150的一个实施方式。在步骤151,该处理通过在处理室中的基片支撑件上设置基片开始。在跟着在该处理的步骤152,使一种或多种清洁气体流过位于该气体分配板的中心附近的气体供给件。然后,在步骤153,通过使用RF功率源对顶部或底部边缘电极供电以及将未由RF源供电的边缘电极接地,在该基片的斜面边缘附近产生等离子。
图1C示出斜面边缘清洁机构的另一个实施方式。该实施方式的处理室100C内的这些部件非常类似于图1A中所示的部件。其差别在于,在该实施方式中,底部边缘电极120’被向内移动,以与基片150的底部表面相接触。底部边缘电极120’完全在基片150之下,以确保该底部边缘电极120’在清洁处理过程中不被溅射。RF功率源123提供传过基片150的RF功率,以利用接地的顶部边缘电极110产生清洁等离子。该清洁等离子清洁斜面边缘。因为通过基片引导RF,所以相比于图1A的实施方式该基片具有更高的DC电位。该基片上更高的DC电位产生更高的离子能量及更高的蚀刻率。
图1D示出该斜面边缘清洁装置的另一个实施方式。在该实施方式中,处理室100D内的这些部件类似于图1A中所示的部件。不同之处在于一个或多个感应线圈170围绕基片斜面以及顶部边缘电极110和底部边缘电极120之间的间隔。感应线圈170嵌入介电材料171并连接到RF功率源175。在一个实施方式中,介电材料171连接到介电支撑件172。
感应线圈170连接到RF功率源175。在一个实施方式中,在斜面清洁处理过程中,RF功率源175提供约2MHz至约13MHz范围内的RF功率以在基片斜面附近产生电感等离子。顶部边缘电极110和底部边缘电极120均接地以为电感等离子提供回路。产生的等离子可有效地清洁斜面边缘和基片背部。感应线圈170可提供高密度等离子,以有效地清洁斜面边缘。
图1D中所示的感应线圈170也可用于产生等离子以清洁基片背部和室壁。在基片背部的清洁过程中,通过顶杆(lift pin)(图未示)升起基片150以使基片离开基片支撑件140。在一个实施方式中,处理室中的压力保持在500mTorr以下。该较低的室压使得清洁等离子在基片背部下扩散。对于基片背部清洁而言,气体分配板160和基片150之间的距离要求Ds仍需保持在0.6mm以下,以确保不会在气体分配板160和基片150之间形成等离子。但是,不再需要顶部边缘电极110和底部边缘电极120之间的间隔DEE。清洁等离子不需要限制在顶部边缘电极110和底部边缘电极120之间或者在顶部绝缘环115和底部绝缘环125之间。清洁等离子需要在升高的基片150下扩散以清洁基片背部。应当理解,类似于用来清洁基片背部的配置和方法也可用来清洁聚积在室内部(包括室壁)的蚀刻副产物。在室内部的清洁过程中,该基片可留在室中或从室中移走。在一个实施方式中,可同时进行基片背部清洁和室内部清洁。
如上所示,也可使用电容耦合等离子来清洁斜面边缘以及清洁基片背部。为了清洁斜面边缘,所使用的RF功率的频率在约2MHz到约13MHz之间。为了清洁室边缘,RF功率的频率在约27MHz到约60MHz之间。图1E示出允许使用双RF频率清洁斜面边缘、基片背部和室内部的另一个实施方式。在该实施方式中,导电突出物171设置为环绕顶部边缘电极110和底部边缘电极120之间的开口。在一个实施方式中,导电突出物171连接到高频RF功率源177,顶部边缘电极110连接到低频RF功率源175,并且底部边缘电极120接地。高频RF功率具有在约27MHz到约60MHz之间的频率。低频RF功率具有在约2MHz到约13MHz之间的频率。在基片背部和室内部的清洁过程中,高频RF功率源177提供RF功率以产生清洁等离子。顶部电极110接地。在一个实施方式中,室压保持在500mTorr以下。通过顶杆(图未示)将基片升起到基片支撑件上方。不再需要顶部边缘电极和底部边缘电极之间<1.5cm的间隔要求。清洁等离子需在基片背部下扩散,以及扩散到室内部的其它部分,以用于清洁。但是,仍需要气体分配板160和基片150之间<0.6mm的间隔要求,以确保在气体分配板160和基片150之间没有等离子形成。小于500mTorr的相对较低的室压使得处理等离子在基片下扩散,并且该室清洁等离子可清洁整个室。
在斜面边缘清洁过程中,该低频RF功率源175提供RF功率以产生清洁等离子。该导电突出物接地。在一个实施方式中,室压保持在约100mTorr至约2Torr之间。在该实施方式中需要遵照顶部边缘电极和底部边缘电极之间<1.5cm的间隔要求以及气体分配板160和基片150之间<0.6mm的间隔要求,以确保限制等离子以及在气体分配板160和基片150之间没有等离子形成。
在另一个实施方式中(图1F所示),顶部边缘电极110连接到低频RF功率源175,并且底部边缘电极120连接到高频RF功率源177。导电突出物171接地。处理条件和配置与图1E的实施方式中所讨论的类似。可选地,顶部边缘电极110可连接到约27MHz至约60MHz之间的高频RF功率源177,并且底部边缘电极120可连接到约2MHz至约13MHz之间的低频RF功率源。
在又一个实施方式中(图1G所示),顶部边缘电极110和导电突出物171均接地。底部边缘电极120连接到能够提供两种类型的RF功率的两个RF功率源175、177,一种在约2MHz至约12MHz之间,另一种在约27MHz至约60MHz之间。在斜面清洁过程中,提供约2MHz至约13MHz之间的RF电源开启。在基片背部清洁过程中,提供约27MHz至约60MHz之间的RF功率源开启。可选地,顶部边缘电极110可连接到两个RF功率源175和177,而底部边缘电极120和导电突出物171接地。
在如图1H所示的另一个实施方式中。在分别围绕顶部边缘电极110和底部边缘电极120的介电环115和125外侧,存在中空的阴极环174,其由导体材料制成,例如铝(Al)。中空的阴极环174具有面向斜面边缘的开口176。在一个实施方式中,该开口176的宽度大于约1.0cm。该中空的阴极环连接到RF功率源175,并且顶部边缘电极110和底部边缘电极120均接地。在该实施方式中气体分配板160和基片支撑件140保持浮动。在一个实施方式中,中空的阴极环174由RF功率源175供电,以产生用于清洁斜面边缘的等离子处理气体。RF功率源175提供约2MHz至约13MHz之间的功率。在另一个实施方式中,中空的阴极环174由RF功率源177供电,以产生用于清洁基片背部和/或室内部的等离子处理气体。RF功率源177提供约27MHz至约60MHz之间的功率。在一个实施方式中,通过基片中心附近的气体供给件161提供处理气体。中空的阴极环174产生高密度等离子,例如密度在约1E10至约1E11的范围内,其可非常有效地清洁斜面边缘。对于该实施方式,不再需要顶部边缘电极110和底部边缘电极120之间小于1.5cm的间隔要求DEE。但是,仍需要气体分配板160和基片150之间的要求以确保在顶部分配板160和基片150之间没有等离子形成。
图2A示出本发明的另一个实施方式。在该实施方式内,处理室200具有顶部气体分配板260和基片支撑件240。在一个实施方式中,气体分配板260可以是电极,并且基片支撑件240可以是连接到RF功率源245的静电卡盘。在另一个实施方式中,基片支撑件240连接到移动组件230,该移动组件可上下移动该基片。在基片250的蚀刻过程中,RF功率源245提供RF功率,以利用接地的顶部电极260产生电容耦合蚀刻等离子以蚀刻基片250。该蚀刻配置仅仅作为示例。也可使用产生电容或电感等离子以蚀刻基片250的其它蚀刻配置。
气体供给件263嵌入在基片支撑件240内以将远端产生的清洁等离子提供到基片250背部,以清洁基片背部,或者提供到该室200以清洁处理室200。在基片背部清洁过程中,顶杆290升起基片250以将其与基片支撑件240分开。远端清洁等离子源270或270’向基片250的背部提供清洁等离子以清洁该基片背部。在一个实施方式中,该远端清洁等离子由微波(如图2A中所示的微波源270)产生。在另一个实施方式中,远端清洁等离子由一对电容耦合电极产生为电容耦合等离子(CCP),在图2A中示为CCP源270’。也可使用其它类型的配置来产生远端等离子,如电感耦合等离子发生器。如前所述,在基片背部清洁过程中,室压保持在500mTorr以下,以使清洁等离子在基片250下扩散(或传播)。
通过嵌入基片支撑件240的气体供给件263供应的远端等离子也可用于清洁该室内部,包括室壁201。在清洁该室内部的过程中,基片250可设于顶杆290上或者从基片室200移走。在室内部清洁过程中,室压保持在5Torr以下。
图2B示出用于清洁基片背部的处理流程250的一个实施方式。在步骤251,基片从处理室内的基片支撑件升起。在步骤252,远端产生的清洁等离子流过嵌入该基片支撑件的气体供给件,以清洁基片背部。图2C示出用于清洁该处理室的处理流程255的实施方式。在步骤256,从基片处理室移走基片。该处理室可以是沉积室、蚀刻室或可从这种原理获益的其它类型的室。在步骤257,远端产生的清洁等离子流过嵌入该基片支撑件内的气体供给件,以清洗该处理室内部。
图3A示出用以清洁基片斜面边缘的机构的实施方式。在该实施方式中,在斜面清洁过程中将盖板375设置于基片350上。在一个实施方式中,基片表面和盖板375面向基片350的表面之间的距离小于约0.5mm。盖板370由诸如石英或陶瓷的介电材料制成。该盖板由支撑组件370支撑,该组件370可被机械机构373上下移动。该盖板支撑组件370可由介电材料制成,或者具有介电涂层。介电材料的例子包括石英和陶瓷。在基片蚀刻过程中,盖板375被从该基片表面移走。在蚀刻处理过程中,盖板支撑件370被移至与基片350相同的高度或者在基片350之下。在斜面边缘清洁过程中,可产生电容耦合等离子或电感耦合等离子以清洁基片斜面边缘。在图3A所示的实施方式中,一个或多个感应线圈360被设置于室300的外侧。该一个或多个感应线圈360连接到RF功率源375。基片支撑件340接地以为该电感等离子提供回路。可在该处理室顶部通过气体供给件361或其它气体供给配置提供处理气体。
电感等离子可清洁该基片斜面边缘,而该盖板保护主要的基片表面。图3B示出盖板375和盖板支撑组件370的俯视图。盖板支撑组件370具有用于支撑该盖板的多个支撑爪(support fingers)374。在一个实施方式中,盖板支撑组件370由多个块I、II及III形成,这使得盖板支撑件370在蚀刻处理过程中可以在基片350下移动。
图3C示出斜面边缘清洁处理流程350。该处理在步骤351通过设置盖板覆盖位于基片支撑件上的基片开始。该盖板设置于盖板支撑件上。该盖板覆盖基片的中心,并使基片斜面边缘(或者盖板375的边缘与盖板支撑组件370的边缘之间的区域)暴露。在步骤352,一种或多种清洁气体流入该处理室。在步骤353,向该电极提供RF功率以产生用于清洁基片的斜面边缘的清洁等离子。
上述实施方式提供了用于清洁斜面边缘、基片背部和室内部的改进的装置和方法。上述用来清洁斜面边缘、基片背部和室内部的实施方式和原理,可应用于介电蚀刻室或导体蚀刻室(其包括金属蚀刻室)。上述实施方式和原理还可应用于其它类型的蚀刻室、可用的沉积室和可用的处理室。
用于清洁斜面边缘、基片背部和室内部的改进的装置和方法减少了在基片上或室内部的不期望的蚀刻副产物和沉积膜的聚积,从而增加了器件成品率。
尽管为了清楚理解而相当详细地描述了前述发明,但是显然,在后附权利要求的范围内可以进行某些改变和修改。所以,本发明的实施方式应当认为是说明性的而非限制性的,并且本发明不限于此处给出的细节,而是可在所附权利要求的范围和等同范围内进行修改。

Claims (9)

1.一种等离子蚀刻处理室,其配置为清洁基片的斜面边缘,该处理室包括:
围绕该等离子处理室内的基片支撑件的底部边缘电极,其中,该基片支撑件配置为容纳该基片;
设在该底部边缘电极和该基片支撑件之间的底部介电环,该底部介电环围绕该基片支撑件,该底部介电环将该基片支撑件和该底部边缘电极电绝缘;
与该基片支撑件相对的气体分配板,该气体分配板包括至少一个用于提供气体的气体供给物;
围绕该气体分配板的顶部介电环;
围绕该与该基片支撑件相对的气体分配板的顶部边缘电极,其中该顶部介电环设在该顶部边缘电极和该气体分配板之间,以及该顶部边缘电极和该气体分配板通过该顶部介电环而彼此电绝缘;以及
围绕并耦接于该底部边缘电极的底部绝缘环,其中该底部边缘电极设在该底部绝缘环和该底部介电环之间。
2.根据权利要求1所述的等离子蚀刻处理室,进一步包括:
围绕并连接到该顶部边缘电极的顶部绝缘环,其中该顶部绝缘环的底部表面设为高过该顶部边缘电极的底部表面,用以扩散清洁等离子。
3.根据权利要求2所述的等离子蚀刻处理室,其中,该顶部绝缘环和该底部绝缘环限制由该顶部边缘电极和该底部边缘电极产生的清洁等离子。
4.根据权利要求1所述的等离子蚀刻处理室,其中该底部绝缘环的设置为朝向基片的表面低于与该底部边缘电极的设置为接触该基片的表面,用以扩散清洁等离子。
5.根据权利要求1所述的等离子蚀刻处理室,进一步包括设在该底部边缘电极下方并通过该底部边缘电极提供功率的RF功率源,其中,该底部边缘电极连接到该RF功率源,用于提供该功率以产生该清洁等离子,并且该顶部边缘电极接地。
6.根据权利要求1所述的等离子蚀刻处理室,其中基片支撑件由RF功率源供电。
7.根据权利要求1所述的等离子蚀刻处理室,其中,该气体分配板和该基片面向该分配板的表面之间的距离小于该顶部边缘电极和该底部边缘电极之间的距离,用以防止在该气体分配板和该基片之间形成等离子。
8.根据权利要求1所述的等离子蚀刻处理室,其中该底部绝缘环设置成与该基片相接触。
9.根据权利要求1所述的等离子蚀刻处理室,其中,该底部边缘电极接触该基片。
CN2006800358829A 2005-09-27 2006-09-26 去除晶片的斜面边缘和背部上的膜的装置和方法 Active CN101273430B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/237,327 US20070068623A1 (en) 2005-09-27 2005-09-27 Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US11/237,327 2005-09-27
US11/440,561 US7909960B2 (en) 2005-09-27 2006-05-24 Apparatus and methods to remove films on bevel edge and backside of wafer
US11/440,561 2006-05-24
PCT/US2006/037648 WO2007038580A2 (en) 2005-09-27 2006-09-26 Apparatus and methods to remove films on bevel edge and backside of wafer

Publications (2)

Publication Number Publication Date
CN101273430A CN101273430A (zh) 2008-09-24
CN101273430B true CN101273430B (zh) 2010-11-03

Family

ID=37892430

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200680035652.2A Active CN101370965B (zh) 2005-09-27 2006-09-26 用于从基片边缘去除副产物组的装置和方法
CN2006800358829A Active CN101273430B (zh) 2005-09-27 2006-09-26 去除晶片的斜面边缘和背部上的膜的装置和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200680035652.2A Active CN101370965B (zh) 2005-09-27 2006-09-26 用于从基片边缘去除副产物组的装置和方法

Country Status (6)

Country Link
US (1) US20070068623A1 (zh)
JP (1) JP2009510784A (zh)
KR (1) KR101433957B1 (zh)
CN (2) CN101370965B (zh)
TW (1) TWI471927B (zh)
WO (1) WO2007038514A2 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
JP4410771B2 (ja) * 2006-04-28 2010-02-03 パナソニック株式会社 ベベルエッチング装置およびベベルエッチング方法
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
JP4697066B2 (ja) * 2006-06-22 2011-06-08 パナソニック株式会社 電極接合方法及び部品実装装置
WO2009009607A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for processing a substrate edge region
US7981307B2 (en) * 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
EP2141259B1 (en) * 2008-07-04 2018-10-31 ABB Schweiz AG Deposition method for passivation of silicon wafers
JP5364514B2 (ja) * 2009-09-03 2013-12-11 東京エレクトロン株式会社 チャンバ内クリーニング方法
JP5989119B2 (ja) 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US20140273487A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
CN103227091B (zh) * 2013-04-19 2016-01-27 中微半导体设备(上海)有限公司 等离子体处理装置
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
CN103972051B (zh) * 2014-05-20 2016-08-17 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法
CN106548914B (zh) * 2015-09-17 2018-10-30 中微半导体设备(上海)有限公司 一种等离子体处理设备及其清洗系统和方法
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
CN109326508B (zh) * 2018-09-26 2021-01-08 华进半导体封装先导技术研发中心有限公司 一种用于湿法处理晶圆边缘的方法
CN112992637A (zh) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
CN111048449B (zh) * 2019-12-05 2022-09-20 华虹半导体(无锡)有限公司 边缘多余膜层刻蚀一体化装置及方法
CN112981372B (zh) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3151014B2 (ja) * 1991-09-20 2001-04-03 住友精密工業株式会社 ウエーハ端面のエッチング方法とその装置
JPH06338475A (ja) * 1993-05-31 1994-12-06 Kawasaki Steel Corp 半導体製造装置
JPH07142449A (ja) * 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5693241A (en) * 1996-06-18 1997-12-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Atmospheric pressure method and apparatus for removal of organic matter with atomic and ionic oxygen
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5992463A (en) * 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5961772A (en) * 1997-01-23 1999-10-05 The Regents Of The University Of California Atmospheric-pressure plasma jet
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6153044A (en) * 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
KR100308422B1 (ko) * 1999-04-15 2001-09-26 주식회사 동진쎄미켐 스핀-온-글라스 및 감광성 수지 제거용 씬너 조성물
CN1199247C (zh) * 2000-05-17 2005-04-27 东京毅力科创株式会社 等离子体刻蚀处理装置及其维护方法
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534921B1 (en) * 2000-11-09 2003-03-18 Samsung Electronics Co., Ltd. Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020142612A1 (en) * 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
JP2003347100A (ja) * 2002-03-19 2003-12-05 Matsushita Electric Ind Co Ltd プラズマ処理装置及び方法
US7175737B2 (en) * 2002-04-16 2007-02-13 Canon Anelva Corporation Electrostatic chucking stage and substrate processing apparatus
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
DE102004024893A1 (de) * 2003-05-27 2005-04-14 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zum Ätzen eines Wafer-Rands
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开平7-142449A 1995.06.02

Also Published As

Publication number Publication date
CN101370965B (zh) 2015-10-07
TW200717648A (en) 2007-05-01
US20070068623A1 (en) 2007-03-29
WO2007038514B1 (en) 2008-11-06
KR101433957B1 (ko) 2014-08-25
WO2007038514A2 (en) 2007-04-05
CN101273430A (zh) 2008-09-24
KR20080063463A (ko) 2008-07-04
WO2007038514A3 (en) 2008-09-25
TWI471927B (zh) 2015-02-01
JP2009510784A (ja) 2009-03-12
CN101370965A (zh) 2009-02-18

Similar Documents

Publication Publication Date Title
CN101273430B (zh) 去除晶片的斜面边缘和背部上的膜的装置和方法
KR101369131B1 (ko) 웨이퍼의 베벨 에지 및 이면상의 필름들을 제거하는 장치 및 방법들
JP3123883U (ja) プラズマ処理チャンバ内で使用されるプロセスキット
US9230824B2 (en) Method of manufacturing semiconductor device
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
CN101512734B (zh) 为基板处理调节边缘环电势的装置及方法
US9508530B2 (en) Plasma processing chamber with flexible symmetric RF return strap
US20100098882A1 (en) Plasma source for chamber cleaning and process
JP5554705B2 (ja) 基材処理のための方法および装置
CN101557885A (zh) 具有多个电容性和电感性电源的等离子处理反应器
CN104342632A (zh) 预清洗腔室及等离子体加工设备
KR20090129417A (ko) 유전체 커버를 갖는 에지 전극
US9583313B2 (en) Plasma processing apparatus and plasma processing method
CN214477329U (zh) 等离子体处理装置和下电极组件
CN213660344U (zh) 一种等离子体处理装置
CN101627461B (zh) 具有可变功率的边缘电极
KR101200743B1 (ko) 다중 유도결합 플라즈마 처리장치 및 방법
CN218896616U (zh) 半导体工艺设备
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant